Add the output wave files into track list
[Faustine.git] / documentation / index_values.html
1 <html>
2 <head>
3 <link rel="stylesheet" href="style.css" type="text/css">
4 <meta content="text/html; charset=iso-8859-1" http-equiv="Content-Type">
5 <link rel="Start" href="index.html">
6 <link title="Index of types" rel=Appendix href="index_types.html">
7 <link title="Index of exceptions" rel=Appendix href="index_exceptions.html">
8 <link title="Index of values" rel=Appendix href="index_values.html">
9 <link title="Index of modules" rel=Appendix href="index_modules.html">
10 <link title="Types" rel="Chapter" href="Types.html">
11 <link title="Parser" rel="Chapter" href="Parser.html">
12 <link title="Lexer" rel="Chapter" href="Lexer.html">
13 <link title="Value" rel="Chapter" href="Value.html">
14 <link title="Signal" rel="Chapter" href="Signal.html">
15 <link title="Faustexp" rel="Chapter" href="Faustexp.html">
16 <link title="Interpreter" rel="Chapter" href="Interpreter.html">
17 <link title="Preprocess" rel="Chapter" href="Preprocess.html">
18 <link title="Main" rel="Chapter" href="Main.html"><title>Index of values</title>
19 </head>
20 <body>
21 <center><h1>Index of values</h1></center>
22 <table>
23 <tr><td align="left"><br></td></tr>
24 <tr><td><a href="Value.html#VAL( *~ )">( *~ )</a> [<a href="Value.html">Value</a>]</td>
25 <td><div class="info">
26 val ( *~ ) : value -&gt; value -&gt; value, operator of v_mul.
27 </div>
28 </td></tr>
29 <tr><td><a href="Value.html#VAL(+~)">(+~)</a> [<a href="Value.html">Value</a>]</td>
30 <td><div class="info">
31 val (+~) : value -&gt; value -&gt; value, operator of v_add.
32 </div>
33 </td></tr>
34 <tr><td><a href="Value.html#VAL(-~)">(-~)</a> [<a href="Value.html">Value</a>]</td>
35 <td><div class="info">
36 val (-~) : value -&gt; value -&gt; value, operator of v_sub.
37 </div>
38 </td></tr>
39 <tr><td><a href="Value.html#VAL(/~)">(/~)</a> [<a href="Value.html">Value</a>]</td>
40 <td><div class="info">
41 val (/~) : value -&gt; value -&gt; value, operator of v_div.
42 </div>
43 </td></tr>
44 <tr><td><a href="Lexer.html#VAL__ocaml_lex_tables">__ocaml_lex_tables</a> [<a href="Lexer.html">Lexer</a>]</td>
45 <td></td></tr>
46 <tr><td><a href="Lexer.html#VAL__ocaml_lex_token_rec">__ocaml_lex_token_rec</a> [<a href="Lexer.html">Lexer</a>]</td>
47 <td></td></tr>
48 <tr><td align="left"><br>A</td></tr>
49 <tr><td><a href="Interpreter.html#VALarrange">arrange</a> [<a href="Interpreter.html">Interpreter</a>]</td>
50 <td><div class="info">
51 val arrange : 'a array array array -&gt; int -&gt; 'a array list,
52 arranges the output data in "array list" form.
53 </div>
54 </td></tr>
55 <tr><td align="left"><br>B</td></tr>
56 <tr><td><a href="Signal.html#VALbeam_add_one_memory">beam_add_one_memory</a> [<a href="Signal.html">Signal</a>]</td>
57 <td><div class="info">
58 val beam_add_one_memory : signal list -&gt; signal list,
59 adds memory of one latest sample for each element in signal list.
60 </div>
61 </td></tr>
62 <tr><td align="left"><br>C</td></tr>
63 <tr><td><a href="Interpreter.html#VALchannels">channels</a> [<a href="Interpreter.html">Interpreter</a>]</td>
64 <td><div class="info">
65 val channels : 'a array array array -&gt; int -&gt; int array,
66 returns an array of number of channels.
67 </div>
68 </td></tr>
69 <tr><td><a href="Signal.html#VALcheck_frequency">check_frequency</a> [<a href="Signal.html">Signal</a>]</td>
70 <td><div class="info">
71 val check_frequency : int -&gt; int -&gt; int, returns the correction of frequency.
72 </div>
73 </td></tr>
74 <tr><td><a href="Interpreter.html#VALcompute">compute</a> [<a href="Interpreter.html">Interpreter</a>]</td>
75 <td><div class="info">
76 val compute : (int -&gt; value) list -&gt; (int list) * (float array list).
77 </div>
78 </td></tr>
79 <tr><td><a href="Interpreter.html#VALcomputing">computing</a> [<a href="Interpreter.html">Interpreter</a>]</td>
80 <td><div class="info">
81 val computing : (int -&gt; value array) -&gt; int -&gt; int -&gt; float array array array,
82 applies time sequence "0,1,2,3,...,max" to signal beam,
83 returns primitive output data.
84 </div>
85 </td></tr>
86 <tr><td><a href="Value.html#VALconvert_back_R">convert_back_R</a> [<a href="Value.html">Value</a>]</td>
87 <td><div class="info">
88 val convert_back_r : value -&gt; float array,
89 return a float array of size 1 if v is N|R|Zero|W, a float array of size n if v is Vec.
90 </div>
91 </td></tr>
92 <tr><td align="left"><br>D</td></tr>
93 <tr><td><a href="Faustexp.html#VALd_merge">d_merge</a> [<a href="Faustexp.html">Faustexp</a>]</td>
94 <td><div class="info">
95 val d_merge : int * int -&gt; int * int -&gt; int * int, process dimension for constructor "merge(:&gt;)",
96 which is (size of input beam of first exp, size of output beam of second exp)
97 along with beam matching.
98 </div>
99 </td></tr>
100 <tr><td><a href="Faustexp.html#VALd_par">d_par</a> [<a href="Faustexp.html">Faustexp</a>]</td>
101 <td><div class="info">
102 val d_par : int * int -&gt; int * int -&gt; int * int, process dimension for constructor "par(,)",
103 which is the addition of two dimensions.
104 </div>
105 </td></tr>
106 <tr><td><a href="Faustexp.html#VALd_rec">d_rec</a> [<a href="Faustexp.html">Faustexp</a>]</td>
107 <td><div class="info">
108 val d_rec : int * int -&gt; int * int -&gt; int * int, process dimension for constructor "rec(~)",
109 which is (size of input beam of first exp - size of output beam of second exp,
110 size of output beam of first exp)
111 along with beam matching.
112 </div>
113 </td></tr>
114 <tr><td><a href="Faustexp.html#VALd_seq">d_seq</a> [<a href="Faustexp.html">Faustexp</a>]</td>
115 <td><div class="info">
116 val d_seq : int * int -&gt; int * int -&gt; int * int, process dimension for constructor "seq(:)",
117 which is (size of input beam of first exp, size of output beam of second exp)
118 along with beam matching.
119 </div>
120 </td></tr>
121 <tr><td><a href="Faustexp.html#VALd_split">d_split</a> [<a href="Faustexp.html">Faustexp</a>]</td>
122 <td><div class="info">
123 val d_split : int * int -&gt; int * int -&gt; int * int, process dimension for constructor "split(&lt;:)",
124 which is (size of input beam of first exp, size of output beam of second exp)
125 along with beam matching.
126 </div>
127 </td></tr>
128 <tr><td><a href="Faustexp.html#VALdelay">delay</a> [<a href="Faustexp.html">Faustexp</a>]</td>
129 <td><div class="info">
130 val delay : faust_exp -&gt; int, returns the number of delays estimated staticly.
131 </div>
132 </td></tr>
133 <tr><td><a href="Faustexp.html#VALdim">dim</a> [<a href="Faustexp.html">Faustexp</a>]</td>
134 <td><div class="info">
135 val dim : faust_exp -&gt; int * int, returns dimension for faust expression,
136 along with beam matching.
137 </div>
138 </td></tr>
139 <tr><td align="left"><br>E</td></tr>
140 <tr><td><a href="Interpreter.html#VALeval">eval</a> [<a href="Interpreter.html">Interpreter</a>]</td>
141 <td><div class="info">
142 val rec eval : faust_exp -&gt; beam -&gt; beam,
143 main interpretation work is done here.
144 </div>
145 </td></tr>
146 <tr><td><a href="Faustexp.html#VALexp_of_string">exp_of_string</a> [<a href="Faustexp.html">Faustexp</a>]</td>
147 <td><div class="info">
148 val exp_of_string : string -&gt; faust_exp, faust expression parser.
149 </div>
150 </td></tr>
151 <tr><td><a href="Interpreter.html#VALextract_rate">extract_rate</a> [<a href="Interpreter.html">Interpreter</a>]</td>
152 <td><div class="info">
153 val extract_rate : (int * (int -&gt; value)) list -&gt; int list,
154 gets the sample rate list from beam.
155 </div>
156 </td></tr>
157 <tr><td align="left"><br>F</td></tr>
158 <tr><td><a href="Value.html#VALfactory_add_memory">factory_add_memory</a> [<a href="Value.html">Value</a>]</td>
159 <td><div class="info">
160 val factory_add_memory : (int -&gt; 'b) -&gt; int -&gt; (int -&gt; 'b),
161 <code class="code">factory_add_memory f n</code> adds a memory of size n to fun f.
162 </div>
163 </td></tr>
164 <tr><td><a href="Value.html#VALfail">fail</a> [<a href="Value.html">Value</a>]</td>
165 <td><div class="info">
166 val fail, return value W.
167 </div>
168 </td></tr>
169 <tr><td><a href="Signal.html#VALfrequency">frequency</a> [<a href="Signal.html">Signal</a>]</td>
170 <td><div class="info">
171 val frequency : signal -&gt; int, returns the frequency of a signal.
172 </div>
173 </td></tr>
174 <tr><td><a href="Interpreter.html#VALfun_array_to_fun">fun_array_to_fun</a> [<a href="Interpreter.html">Interpreter</a>]</td>
175 <td><div class="info">
176 val func_of_func_array : (int -&gt; value) array -&gt; (int -&gt; value array),
177 applies the same int parameter to each element of function array,
178 produces a value array.
179 </div>
180 </td></tr>
181 <tr><td align="left"><br>G</td></tr>
182 <tr><td><a href="Faustexp.html#VALget_root">get_root</a> [<a href="Faustexp.html">Faustexp</a>]</td>
183 <td><div class="info">
184 val get_root : dimension -&gt; int * int, returns the root of dimension tree.
185 </div>
186 </td></tr>
187 <tr><td align="left"><br>I</td></tr>
188 <tr><td><a href="Interpreter.html#VALinterpret_const">interpret_const</a> [<a href="Interpreter.html">Interpreter</a>]</td>
189 <td><div class="info">
190 val interpret_const : value -&gt; beam -&gt; beam, generates constant signal with frequency 0.
191 </div>
192 </td></tr>
193 <tr><td><a href="Interpreter.html#VALinterpret_ident">interpret_ident</a> [<a href="Interpreter.html">Interpreter</a>]</td>
194 <td><div class="info">
195 val interpret_ident : string -&gt; beam -&gt; beam,
196 generates signals according to identified symbols.
197 </div>
198 </td></tr>
199 <tr><td><a href="Interpreter.html#VALinterpreter">interpreter</a> [<a href="Interpreter.html">Interpreter</a>]</td>
200 <td><div class="info">
201 val interpreter : faust_exp -&gt; (int list) * (float array list) -&gt;
202 (int list) * (int list) * (float array list)
203 input: faust expression, sample rate list * input data list
204 output: channel list * sample rate list * output data list.
205 </div>
206 </td></tr>
207 <tr><td><a href="Interpreter.html#VALinterpreter_macro_to_value">interpreter_macro_to_value</a> [<a href="Interpreter.html">Interpreter</a>]</td>
208 <td><div class="info">
209 val interpreter_macro_to_value : returns the value associated with the macro.
210 </div>
211 </td></tr>
212 <tr><td><a href="Main.html#VALio_macro_to_string">io_macro_to_string</a> [<a href="Main.html">Main</a>]</td>
213 <td><div class="info">
214 val io_macro_to_string : io_macro -&gt; string.
215 </div>
216 </td></tr>
217 <tr><td align="left"><br>M</td></tr>
218 <tr><td><a href="Main.html#VALmain">main</a> [<a href="Main.html">Main</a>]</td>
219 <td></td></tr>
220 <tr><td><a href="Parser.html#VALmain">main</a> [<a href="Parser.html">Parser</a>]</td>
221 <td></td></tr>
222 <tr><td><a href="Interpreter.html#VALmake_beam">make_beam</a> [<a href="Interpreter.html">Interpreter</a>]</td>
223 <td><div class="info">
224 val make_beam : (int list) * (float array list) -&gt; (int * (int -&gt; value)) list,
225 input: (sample rate list, data list)
226 output: beam = (sample rate, function) list
227 </div>
228 </td></tr>
229 <tr><td><a href="Value.html#VALmake_vector">make_vector</a> [<a href="Value.html">Value</a>]</td>
230 <td><div class="info">
231 val make_vector : int -&gt; (int -&gt; value) -&gt; value,
232 <code class="code">make_vector size vec</code>, return a value Vec of (size, vec).
233 </div>
234 </td></tr>
235 <tr><td><a href="Interpreter.html#VALmatrix_transpose">matrix_transpose</a> [<a href="Interpreter.html">Interpreter</a>]</td>
236 <td><div class="info">
237 val matrix_transpose : 'a array array -&gt; 'a array array,
238 transposes the input matrix.
239 </div>
240 </td></tr>
241 <tr><td align="left"><br>N</td></tr>
242 <tr><td><a href="Value.html#VALnormalize">normalize</a> [<a href="Value.html">Value</a>]</td>
243 <td><div class="info">
244 val normalize: value -&gt; value, normalize value to bounded <code class="code">-2147483648,2147483647</code>.
245 </div>
246 </td></tr>
247 <tr><td align="left"><br>P</td></tr>
248 <tr><td><a href="Preprocess.html#VALpreprocess">preprocess</a> [<a href="Preprocess.html">Preprocess</a>]</td>
249 <td></td></tr>
250 <tr><td><a href="Faustexp.html#VALprint_exp">print_exp</a> [<a href="Faustexp.html">Faustexp</a>]</td>
251 <td><div class="info">
252 val print_exp : faust_exp -&gt; unit, print to console the input faust expression.
253 </div>
254 </td></tr>
255 <tr><td><a href="Value.html#VALprint_value_list">print_value_list</a> [<a href="Value.html">Value</a>]</td>
256 <td><div class="info">
257 val print_value_list: value list -&gt; unit, prints to console the value list.
258 </div>
259 </td></tr>
260 <tr><td align="left"><br>R</td></tr>
261 <tr><td><a href="Main.html#VALread_input_wave">read_input_wave</a> [<a href="Main.html">Main</a>]</td>
262 <td><div class="info">
263 val read_input_wave : string array -&gt; int list * float array list
264 <code class="code">read_input_wave argv</code> gets information from command line,
265 returns sample rate list and data (in form of float array) list.
266 </div>
267 </td></tr>
268 <tr><td><a href="Value.html#VALreturn_N">return_N</a> [<a href="Value.html">Value</a>]</td>
269 <td><div class="info">
270 val return_N : int -&gt; value, convert from int to value N.
271 </div>
272 </td></tr>
273 <tr><td><a href="Value.html#VALreturn_R">return_R</a> [<a href="Value.html">Value</a>]</td>
274 <td><div class="info">
275 val return_R : float -&gt; value, convert from float to value R.
276 </div>
277 </td></tr>
278 <tr><td><a href="Value.html#VALreturn_Vec">return_Vec</a> [<a href="Value.html">Value</a>]</td>
279 <td><div class="info">
280 val return_Vec : int * (int -&gt; value) -&gt; value, convert (size, vec) to value Vec.
281 </div>
282 </td></tr>
283 <tr><td align="left"><br>S</td></tr>
284 <tr><td><a href="Main.html#VALset_GC">set_GC</a> [<a href="Main.html">Main</a>]</td>
285 <td><div class="info">
286 val set_GC : unit -&gt; unit
287 </div>
288 </td></tr>
289 <tr><td><a href="Signal.html#VALsignal_add">signal_add</a> [<a href="Signal.html">Signal</a>]</td>
290 <td><div class="info">
291 val signal_add : signal -&gt; signal -&gt; signal, output(t) = input1(t) + input2(t),
292 frequency consistent.
293 </div>
294 </td></tr>
295 <tr><td><a href="Signal.html#VALsignal_add_one_memory">signal_add_one_memory</a> [<a href="Signal.html">Signal</a>]</td>
296 <td><div class="info">
297 val signal_add_one_memory : signal -&gt; signal,
298 returns the signal with memory of one latest sample.
299 </div>
300 </td></tr>
301 <tr><td><a href="Signal.html#VALsignal_append">signal_append</a> [<a href="Signal.html">Signal</a>]</td>
302 <td><div class="info">
303 val signal_append : signal -&gt; signal -&gt; signal, symbol "#",
304 appends vectors of the two input signals at each time, frequency consistent.
305 </div>
306 </td></tr>
307 <tr><td><a href="Signal.html#VALsignal_atan">signal_atan</a> [<a href="Signal.html">Signal</a>]</td>
308 <td><div class="info">
309 val signal_atan : signal -&gt; signal, output(t) = v_atan(input(t)), frequency consistent.
310 </div>
311 </td></tr>
312 <tr><td><a href="Signal.html#VALsignal_atantwo">signal_atantwo</a> [<a href="Signal.html">Signal</a>]</td>
313 <td></td></tr>
314 <tr><td><a href="Signal.html#VALsignal_check_frequency">signal_check_frequency</a> [<a href="Signal.html">Signal</a>]</td>
315 <td><div class="info">
316 val signal_check_frequency : signal -&gt; signal -&gt; int,
317 checks the frequencies of two input signals, and returns common frequency or raise an exception.
318 </div>
319 </td></tr>
320 <tr><td><a href="Signal.html#VALsignal_check_frequency3">signal_check_frequency3</a> [<a href="Signal.html">Signal</a>]</td>
321 <td><div class="info">
322 val signal_check_frequency3 : signal -&gt; signal -&gt; signal -&gt; int,
323 checks the frequencies of three input signal, and returns common frequency or raise an exception.
324 </div>
325 </td></tr>
326 <tr><td><a href="Signal.html#VALsignal_check_frequency4">signal_check_frequency4</a> [<a href="Signal.html">Signal</a>]</td>
327 <td><div class="info">
328 val signal_check_frequency4 : signal -&gt; signal -&gt; signal -&gt; signal -&gt; int,
329 checks the frequencies of three input signal, and returns common frequency or raise an exception.
330 </div>
331 </td></tr>
332 <tr><td><a href="Signal.html#VALsignal_cos">signal_cos</a> [<a href="Signal.html">Signal</a>]</td>
333 <td><div class="info">
334 val signal_cos : signal -&gt; signal, output(t) = v_cos(input(t)), frequency consistent.
335 </div>
336 </td></tr>
337 <tr><td><a href="Signal.html#VALsignal_delay">signal_delay</a> [<a href="Signal.html">Signal</a>]</td>
338 <td><div class="info">
339 val signal_delay : signal -&gt; signal -&gt; signal, output(t) = input1(t - input2(t)),
340 Attention: delay dynamic, frequency of output signal equals to that of first input signal.
341 </div>
342 </td></tr>
343 <tr><td><a href="Signal.html#VALsignal_div">signal_div</a> [<a href="Signal.html">Signal</a>]</td>
344 <td><div class="info">
345 val signal_div : signal -&gt; signal -&gt; signal, output(t) = input1(t) / input2(t),
346 frequency consistent.
347 </div>
348 </td></tr>
349 <tr><td><a href="Signal.html#VALsignal_floor">signal_floor</a> [<a href="Signal.html">Signal</a>]</td>
350 <td><div class="info">
351 val signal_floor : signal -&gt; signal, output(t) = v_floor(input(t)), frequency consistent.
352 </div>
353 </td></tr>
354 <tr><td><a href="Signal.html#VALsignal_fun">signal_fun</a> [<a href="Signal.html">Signal</a>]</td>
355 <td><div class="info">
356 val signal_fun : signal -&gt; (int -&gt; value), returns the functional part of a signal.
357 </div>
358 </td></tr>
359 <tr><td><a href="Signal.html#VALsignal_inf">signal_inf</a> [<a href="Signal.html">Signal</a>]</td>
360 <td><div class="info">
361 val signal_inf : signal -&gt; signal -&gt; signal,
362 output(t) = input1(t) &lt; input2(t), frequency consistent.
363 </div>
364 </td></tr>
365 <tr><td><a href="Signal.html#VALsignal_int">signal_int</a> [<a href="Signal.html">Signal</a>]</td>
366 <td><div class="info">
367 val signal_int : signal -&gt; signal, output(t) = v_int(input(t)), frequency consistent.
368 </div>
369 </td></tr>
370 <tr><td><a href="Signal.html#VALsignal_macro_to_int">signal_macro_to_int</a> [<a href="Signal.html">Signal</a>]</td>
371 <td><div class="info">
372 val signal_macro_to_int : signal_macro -&gt; int.
373 </div>
374 </td></tr>
375 <tr><td><a href="Signal.html#VALsignal_mem">signal_mem</a> [<a href="Signal.html">Signal</a>]</td>
376 <td><div class="info">
377 val signal_mem : signal -&gt; signal, equivalent to signal_delay with constant delay 1.
378 </div>
379 </td></tr>
380 <tr><td><a href="Signal.html#VALsignal_mod">signal_mod</a> [<a href="Signal.html">Signal</a>]</td>
381 <td><div class="info">
382 val signal_mod : signal -&gt; signal -&gt; signal,
383 output(t) = input1(t) % input2(t), frequency consistent.
384 </div>
385 </td></tr>
386 <tr><td><a href="Signal.html#VALsignal_mul">signal_mul</a> [<a href="Signal.html">Signal</a>]</td>
387 <td><div class="info">
388 val signal_mul : signal -&gt; signal -&gt; signal, output(t) = input1(t) * input2(t),
389 frequency consistent.
390 </div>
391 </td></tr>
392 <tr><td><a href="Signal.html#VALsignal_neg">signal_neg</a> [<a href="Signal.html">Signal</a>]</td>
393 <td><div class="info">
394 val signal_neg : signal -&gt; signal, output(t) = -input(t), frequency consistent.
395 </div>
396 </td></tr>
397 <tr><td><a href="Signal.html#VALsignal_nth">signal_nth</a> [<a href="Signal.html">Signal</a>]</td>
398 <td><div class="info">
399 val signal_nth : signal -&gt; signal -&gt; signal, symbol "[]", output(t) = input1(t)(input2(t)),
400 frequency consistent.
401 </div>
402 </td></tr>
403 <tr><td><a href="Signal.html#VALsignal_prefix">signal_prefix</a> [<a href="Signal.html">Signal</a>]</td>
404 <td><div class="info">
405 val signal_prefix : signal -&gt; signal -&gt; signal,
406 <code class="code">signal_prefix s0 s1</code> returns s0(0) if t = 0, s1(t-1) if t &gt; 0, frequency same to s1.
407 </div>
408 </td></tr>
409 <tr><td><a href="Signal.html#VALsignal_rdtable">signal_rdtable</a> [<a href="Signal.html">Signal</a>]</td>
410 <td><div class="info">
411 val signal_rdtable : signal -&gt; signal -&gt; signal,
412 output(t) = input1(input2(t)), frequency equals to that of input2.
413 </div>
414 </td></tr>
415 <tr><td><a href="Signal.html#VALsignal_select2">signal_select2</a> [<a href="Signal.html">Signal</a>]</td>
416 <td><div class="info">
417 val signal_select2 : signal -&gt; signal -&gt; signal -&gt; signal,
418 <code class="code">signal_select2 si s0 s1</code> selects s0 or s1 by index si, frequency consistent.
419 </div>
420 </td></tr>
421 <tr><td><a href="Signal.html#VALsignal_select3">signal_select3</a> [<a href="Signal.html">Signal</a>]</td>
422 <td><div class="info">
423 val signal_select3 : signal -&gt; signal -&gt; signal -&gt; signal -&gt; signal,
424 <code class="code">signal_select3 si s0 s1 s2</code> selects s0 or s1 or s2 by index si, frequency consistent.
425 </div>
426 </td></tr>
427 <tr><td><a href="Signal.html#VALsignal_serialize">signal_serialize</a> [<a href="Signal.html">Signal</a>]</td>
428 <td><div class="info">
429 val signal_serialize : signal -&gt; signal, output(t) = input(floor(t/n))(t%n),
430 with n = size of input(0).
431 </div>
432 </td></tr>
433 <tr><td><a href="Signal.html#VALsignal_sin">signal_sin</a> [<a href="Signal.html">Signal</a>]</td>
434 <td><div class="info">
435 val signal_sin : signal -&gt; signal, output(t) = v_sin(input(t)), frequency consistent.
436 </div>
437 </td></tr>
438 <tr><td><a href="Signal.html#VALsignal_sqrt">signal_sqrt</a> [<a href="Signal.html">Signal</a>]</td>
439 <td><div class="info">
440 val signal_sqrt : signal -&gt; signal, output(t) = v_sqrt(input(t)), frequency consistent.
441 </div>
442 </td></tr>
443 <tr><td><a href="Signal.html#VALsignal_sub">signal_sub</a> [<a href="Signal.html">Signal</a>]</td>
444 <td><div class="info">
445 val signal_sub : signal -&gt; signal -&gt; signal, output(t) = input1(t) - input2(t),
446 frequency consistent.
447 </div>
448 </td></tr>
449 <tr><td><a href="Signal.html#VALsignal_sup">signal_sup</a> [<a href="Signal.html">Signal</a>]</td>
450 <td><div class="info">
451 val signal_sup : signal -&gt; signal -&gt; signal,
452 output(t) = input1(t) &gt; input2(t), frequency consistent.
453 </div>
454 </td></tr>
455 <tr><td><a href="Signal.html#VALsignal_vectorize">signal_vectorize</a> [<a href="Signal.html">Signal</a>]</td>
456 <td><div class="info">
457 val signal_vectorize : signal -&gt; signal -&gt; signal, output(t)(i) = input1(input2(0) * t + i),
458 Attention: vector size n static, frequency of output signal is (1/n * frequency of input1)
459 </div>
460 </td></tr>
461 <tr><td><a href="Value.html#VALstring_of_value">string_of_value</a> [<a href="Value.html">Value</a>]</td>
462 <td><div class="info">
463 val string_of_value : value -&gt; string, converts value to following
464 strings "N i" | "R f" | "Vec" | "Zero" | "W".
465 </div>
466 </td></tr>
467 <tr><td><a href="Interpreter.html#VALsublist">sublist</a> [<a href="Interpreter.html">Interpreter</a>]</td>
468 <td><div class="info">
469 val sublist : 'a list -&gt; int -&gt; int -&gt; 'a list,
470 <code class="code">sublist l start length</code>, returns the sublist of list 'l',
471 from index 'start', with length 'length'.
472 </div>
473 </td></tr>
474 <tr><td><a href="Faustexp.html#VALsubtree">subtree</a> [<a href="Faustexp.html">Faustexp</a>]</td>
475 <td><div class="info">
476 val subtree : dimention -&gt; int -&gt; dimension, returns a subtree of dimension tree.
477 </div>
478 </td></tr>
479 <tr><td><a href="Faustexp.html#VALsubtree_left">subtree_left</a> [<a href="Faustexp.html">Faustexp</a>]</td>
480 <td><div class="info">
481 val subtree_left : dimension -&gt; dimension, returns the left subtree of dimension tree.
482 </div>
483 </td></tr>
484 <tr><td><a href="Faustexp.html#VALsubtree_right">subtree_right</a> [<a href="Faustexp.html">Faustexp</a>]</td>
485 <td><div class="info">
486 val subtree_right : dimension -&gt; dimension, returns the right subtree of dimension tree.
487 </div>
488 </td></tr>
489 <tr><td><a href="Types.html#VALsymbol_of_string">symbol_of_string</a> [<a href="Types.html">Types</a>]</td>
490 <td></td></tr>
491 <tr><td align="left"><br>T</td></tr>
492 <tr><td><a href="Value.html#VALtake_off_N">take_off_N</a> [<a href="Value.html">Value</a>]</td>
493 <td><div class="info">
494 val take_off_N : value -&gt; int, convert from value N to int.
495 </div>
496 </td></tr>
497 <tr><td><a href="Value.html#VALtake_off_R">take_off_R</a> [<a href="Value.html">Value</a>]</td>
498 <td><div class="info">
499 val take_off_R : value -&gt; float, convert from value R to float.
500 </div>
501 </td></tr>
502 <tr><td><a href="Lexer.html#VALtoken">token</a> [<a href="Lexer.html">Lexer</a>]</td>
503 <td></td></tr>
504 <tr><td align="left"><br>V</td></tr>
505 <tr><td><a href="Value.html#VALv_add">v_add</a> [<a href="Value.html">Value</a>]</td>
506 <td><div class="info">
507 val v_add : value -&gt; value -&gt; value, value addition, recursive for value.Vec.
508 </div>
509 </td></tr>
510 <tr><td><a href="Value.html#VALv_atan">v_atan</a> [<a href="Value.html">Value</a>]</td>
511 <td><div class="info">
512 val v_atan : value -&gt; value, returns atan(v), recursive for value.Vec.
513 </div>
514 </td></tr>
515 <tr><td><a href="Value.html#VALv_atantwo">v_atantwo</a> [<a href="Value.html">Value</a>]</td>
516 <td><div class="info">
517 val v_atantwo : value -&gt; value, returns atantwo(v), recursive for value.Vec.
518 </div>
519 </td></tr>
520 <tr><td><a href="Value.html#VALv_cos">v_cos</a> [<a href="Value.html">Value</a>]</td>
521 <td><div class="info">
522 val v_cos : value -&gt; value, returns cos(v), recursive for value.Vec.
523 </div>
524 </td></tr>
525 <tr><td><a href="Value.html#VALv_div">v_div</a> [<a href="Value.html">Value</a>]</td>
526 <td><div class="info">
527 val v_div : value -&gt; value -&gt; value, value division, returns (v1/.v2).
528 </div>
529 </td></tr>
530 <tr><td><a href="Value.html#VALv_floor">v_floor</a> [<a href="Value.html">Value</a>]</td>
531 <td><div class="info">
532 val v_floor : value -&gt; value, returns floor of float, converts int to float, Zero to 0.,
533 error to error, recursive for value.Vec.
534 </div>
535 </td></tr>
536 <tr><td><a href="Value.html#VALv_inf">v_inf</a> [<a href="Value.html">Value</a>]</td>
537 <td><div class="info">
538 val v_inf : value -&gt; value -&gt; value, comparison of two values, returns value.N 1 if (v1 &lt; v2),
539 value.N 0 else.
540 </div>
541 </td></tr>
542 <tr><td><a href="Value.html#VALv_int">v_int</a> [<a href="Value.html">Value</a>]</td>
543 <td><div class="info">
544 val v_int : value -&gt; value, converts value to value.N, error to error, recursive for value.Vec.
545 </div>
546 </td></tr>
547 <tr><td><a href="Value.html#VALv_larger_than_zero">v_larger_than_zero</a> [<a href="Value.html">Value</a>]</td>
548 <td><div class="info">
549 val v_larger_than_zero : value -&gt; value, primitive comparison between value and zero,
550 returns value.N 1 if true, value.N 0 if false.
551 </div>
552 </td></tr>
553 <tr><td><a href="Value.html#VALv_list_memory">v_list_memory</a> [<a href="Value.html">Value</a>]</td>
554 <td><div class="info">
555 val v_list_memory : value list -&gt; value list, returns value list with memory.
556 </div>
557 </td></tr>
558 <tr><td><a href="Value.html#VALv_memory">v_memory</a> [<a href="Value.html">Value</a>]</td>
559 <td><div class="info">
560 val v_memory : value -&gt; value, returns value Vec with memory.
561 </div>
562 </td></tr>
563 <tr><td><a href="Value.html#VALv_mod">v_mod</a> [<a href="Value.html">Value</a>]</td>
564 <td><div class="info">
565 val v_mod : value -&gt; value -&gt; value, returns (v1 % v2), recursive for value.Vec.
566 </div>
567 </td></tr>
568 <tr><td><a href="Value.html#VALv_mul">v_mul</a> [<a href="Value.html">Value</a>]</td>
569 <td><div class="info">
570 val v_mul : value -&gt; value -&gt; value, returns (v1 * v2), recursive for value.Vec.
571 </div>
572 </td></tr>
573 <tr><td><a href="Value.html#VALv_neg">v_neg</a> [<a href="Value.html">Value</a>]</td>
574 <td><div class="info">
575 val v_neg : value -&gt; value, v_neg v = -v.
576 </div>
577 </td></tr>
578 <tr><td><a href="Value.html#VALv_recip">v_recip</a> [<a href="Value.html">Value</a>]</td>
579 <td><div class="info">
580 val v_recip : value -&gt; value, v_recip v = 1./.v.
581 </div>
582 </td></tr>
583 <tr><td><a href="Value.html#VALv_sin">v_sin</a> [<a href="Value.html">Value</a>]</td>
584 <td><div class="info">
585 val v_sin : value -&gt; value, returns sin(v), recursive for value.Vec.
586 </div>
587 </td></tr>
588 <tr><td><a href="Value.html#VALv_sqrt">v_sqrt</a> [<a href="Value.html">Value</a>]</td>
589 <td><div class="info">
590 val v_sqrt : value -&gt; value, returns sqrt(v), recursive for value.Vec.
591 </div>
592 </td></tr>
593 <tr><td><a href="Value.html#VALv_sub">v_sub</a> [<a href="Value.html">Value</a>]</td>
594 <td><div class="info">
595 val v_sub : value -&gt; value -&gt; value, returns (v1 - v2).
596 </div>
597 </td></tr>
598 <tr><td><a href="Value.html#VALv_sup">v_sup</a> [<a href="Value.html">Value</a>]</td>
599 <td><div class="info">
600 val v_sup : value -&gt; value -&gt; value, comparison of two values, returns value.N 1 if (v1 &gt; v2),
601 value.N 0 else.
602 </div>
603 </td></tr>
604 <tr><td><a href="Value.html#VALv_zero">v_zero</a> [<a href="Value.html">Value</a>]</td>
605 <td><div class="info">
606 val v_zero : value -&gt; value, Attention: N i -&gt; N 0 | R f -&gt; R 0.
607 </div>
608 </td></tr>
609 <tr><td><a href="Value.html#VALvalue_macro_to_int">value_macro_to_int</a> [<a href="Value.html">Value</a>]</td>
610 <td><div class="info">
611 val value_macro_to_value : value_macro -&gt; int.
612 </div>
613 </td></tr>
614 <tr><td align="left"><br>W</td></tr>
615 <tr><td><a href="Main.html#VALwrite_output_txt">write_output_txt</a> [<a href="Main.html">Main</a>]</td>
616 <td></td></tr>
617 <tr><td><a href="Main.html#VALwrite_output_wave">write_output_wave</a> [<a href="Main.html">Main</a>]</td>
618 <td><div class="info">
619 val write_output_wave : int list -&gt; int list -&gt; float_array_list -&gt; unit.
620 </div>
621 </td></tr>
622 <tr><td align="left"><br>Y</td></tr>
623 <tr><td><a href="Parser.html#VALyyact">yyact</a> [<a href="Parser.html">Parser</a>]</td>
624 <td></td></tr>
625 <tr><td><a href="Parser.html#VALyycheck">yycheck</a> [<a href="Parser.html">Parser</a>]</td>
626 <td></td></tr>
627 <tr><td><a href="Parser.html#VALyydefred">yydefred</a> [<a href="Parser.html">Parser</a>]</td>
628 <td></td></tr>
629 <tr><td><a href="Parser.html#VALyydgoto">yydgoto</a> [<a href="Parser.html">Parser</a>]</td>
630 <td></td></tr>
631 <tr><td><a href="Parser.html#VALyygindex">yygindex</a> [<a href="Parser.html">Parser</a>]</td>
632 <td></td></tr>
633 <tr><td><a href="Parser.html#VALyylen">yylen</a> [<a href="Parser.html">Parser</a>]</td>
634 <td></td></tr>
635 <tr><td><a href="Parser.html#VALyylhs">yylhs</a> [<a href="Parser.html">Parser</a>]</td>
636 <td></td></tr>
637 <tr><td><a href="Parser.html#VALyynames_block">yynames_block</a> [<a href="Parser.html">Parser</a>]</td>
638 <td></td></tr>
639 <tr><td><a href="Parser.html#VALyynames_const">yynames_const</a> [<a href="Parser.html">Parser</a>]</td>
640 <td></td></tr>
641 <tr><td><a href="Parser.html#VALyyrindex">yyrindex</a> [<a href="Parser.html">Parser</a>]</td>
642 <td></td></tr>
643 <tr><td><a href="Parser.html#VALyysindex">yysindex</a> [<a href="Parser.html">Parser</a>]</td>
644 <td></td></tr>
645 <tr><td><a href="Parser.html#VALyytable">yytable</a> [<a href="Parser.html">Parser</a>]</td>
646 <td></td></tr>
647 <tr><td><a href="Parser.html#VALyytables">yytables</a> [<a href="Parser.html">Parser</a>]</td>
648 <td></td></tr>
649 <tr><td><a href="Parser.html#VALyytablesize">yytablesize</a> [<a href="Parser.html">Parser</a>]</td>
650 <td></td></tr>
651 <tr><td><a href="Parser.html#VALyytransl_block">yytransl_block</a> [<a href="Parser.html">Parser</a>]</td>
652 <td></td></tr>
653 <tr><td><a href="Parser.html#VALyytransl_const">yytransl_const</a> [<a href="Parser.html">Parser</a>]</td>
654 <td></td></tr>
655 </table><br>
656 </body>
657 </html>