From 14e4f8cd6102d273c520c2d2e0168a5221a7daeb Mon Sep 17 00:00:00 2001 From: WANG Date: Wed, 31 Jul 2013 19:34:00 +0200 Subject: [PATCH 1/1] Refactoring of file beam.ml. --- interpretor/beam.ml | 56 +++++++++++++----- interpretor/faust-0.9.47mr3/compiler/faust | Bin 1265820 -> 1265820 bytes .../faust-0.9.47mr3/compiler/preprocess.a | Bin 2951376 -> 2948944 bytes .../compiler/preprocess/preprocess.cpp | 12 ++-- .../compiler/preprocess/preprocess.o | Bin 55992 -> 53560 bytes interpretor/interpreter.ml | 29 ++------- interpretor/parser.ml | 6 +- interpretor/parser.mly | 4 +- interpretor/signal.ml | 2 +- interpretor/types.ml | 10 ++-- 10 files changed, 65 insertions(+), 54 deletions(-) diff --git a/interpretor/beam.ml b/interpretor/beam.ml index 33fb08e..cf5c003 100644 --- a/interpretor/beam.ml +++ b/interpretor/beam.ml @@ -7,17 +7,16 @@ exception Beam_matching of string;; +open Types;; open Signal;; class beam : signal_type array -> beam_type = - fun (sa_init : signal_type array) -> + fun (signals_init : signal_type array) -> object (self) - val sa = sa_init - val l = Array.length sa + val signals = signals_init - method get = sa - - method length = l + method get = signals + method length = Array.length signals method sub : int -> int -> beam_type = fun start -> @@ -25,17 +24,46 @@ class beam : signal_type array -> beam_type = new beam (Array.sub self#get start len) method append : beam_type -> beam_type = - fun b -> - new beam (Array.append self#get b) + fun (b : beam_type) -> + new beam (Array.append self#get b#get) + + method private add_memory : int -> unit = + fun (window : int) -> + let signal_add_memory : int -> signal_type -> unit = + fun (l : int) -> + fun (s : signal) -> + s#add_memory l in + let _ = Array.map (signal_add_memory window) self#get in () - method matching : int -> beam_type + method matching : int -> beam_type = fun size -> - if size = self#length then self - else if size > self#length && size mod self#length = 0 then - - else if size < self#length && self#length mod size = 0 then - + if size = self#length then new beam self#get + + else if (size > self#length) && (size mod self#length = 0) then + let () = self#add_memory 1 in + let split_signals = + Array.concat (Array.to_list + (Array.make (size/self#length) self#get)) in + new beam split_signals + + else if (size < self#length) && (self#length mod size = 0) then + let rec merge_rec = + fun (sa : signal_type array) -> + fun (l : int) -> + fun (i : int) -> + if i + l < Array.length sa then + (sa.(i))#add (merge_rec sa l (i + l)) + else sa.(i) in + let merge_signals = Array.init size (merge_rec self#get size) in + new beam merge_signals + else raise (Beam_matching "matching size error") + method time : time -> value_type array = + fun t -> + let signal_at = fun (t : time) -> fun (s : signal_type) -> s#at t in + Array.map (signal_at t) self#get + method output : int -> (int list) * (float array list) = + end diff --git a/interpretor/faust-0.9.47mr3/compiler/faust b/interpretor/faust-0.9.47mr3/compiler/faust index 76dd70844c67608c30feacea2277dbe50802373b..59c114e06dd0df9b70671e3a55d2d25e18964aea 100755 GIT binary patch delta 156927 zcmbS!c|cBE_y2SDIge6NQADNGV~SG75Xu;e%){*x4VqMlA{1pvhB&dzGF)8KML2Y+ z%oo?a=6T5cWJtKiq~BWSoKo-m`+a}!`|S_=*`GD+wbovHIQ#79v1Wtsnhn0`7maGp zGSkps%~?xs=hU!RR9&uFEb6P4;&$$~#hUaJ|G2~SlcT3+Ze3!&wj|vmIrF$?d2o&4*_`u!=if%ov*u(o`pPtqp z5AXYGyi?EUT|ShSdM`Ypi$}%Ysi*Z(%dvqnqPa?(rxN=sL~&!!&+J>ExW?P6Tu=Uc zMNeau)qYt8JttJ6yGrC0qFCf@P4-mlwU}vx#C5%7>kjo=Y*Q@=BjfjuRPNVlcrxvb z-W9Du)5O5ui)}24;g`1x!)ICT8U5^k6o%@3_Sx7H!;K<^p-5$r&<@gYw~yTJmp=Pg z;~;UDkCk1S$A7<3+&F~2N8vDCBD*0z@%hYJ28s85t%jGet}9en<5kxCiz|3MQzgz* ziN`7ugH+-QmAF|Uiv9YwWq(Y`n%6g&YYVYxe_fezkglHh!f86goVnQV`YMR|EbOK; z^IqtqGxSbrUTm+wc(i|S7Tiy)I-o5(F+}V#pexIoBF-J)$*#>8&kh*RTJ#k?26ixN-Kd(} zL-+QIGY39mRY!=ygL<-nv*PYS&b7Q=nrOU}Pg?!-^9joBecnoLza6yE?{gwLlu}R7 zyfZb^UbU~LdD&U^R39+aNaLN>u}QeAhI~Lb@9S+c5TiJFr=HNScVWoTHNYDO)4+9x za_cX9i;o94W;kxD4XN8+9*Ac8vVr*Vi88cC_LQ5)cK>-^M!T)jx+pYp^pLuwWqO&G z%yP~-DraGjGA$|Pv~ZPnS)qxS6fLjIw78aY)>1iVlxwjlr+s~_*f~bk;x$yZ<>#TQ zM|sc6tlpw>Rxj7Gxtum%rM>H}1beTdD(xPkssc1sOLwV;Q}v)Bp<2a`PEmW z@ipy!sher{n=fmd=BCuJ|L&{l_z(qW7QAu-FkA41z>L~!>`9fv=dW&cUO$MCQ$q^+^*?~ z9(^@_`dMhFcWNQcVsZE}M>kTLeaSQL)CV-v{M}9LJR+lM>Ce8JGlC8$ERFY>ZaPCO zHF}HoID~K(s_~bJggx2=GyWQ3Pxx zV2}dzAYcgr{tDnsKoS9=3SdD%Gy%~HP>dewM-nhu0UilcLcU7fDZxB3D~0mEeW_zz+o9k)Yc{B6d}hH zmM>ip*iXP&1-MDTCIT)iKrR7G3An2OTL_p*z$*n9w9f?J!08I#JMnH1~FeAX40CxrW2?z9$oIp#553=5d#?=v2Wby}h1K>UQN?sY~H z1Vk&qcmhtg6x)yP#O_VpG<9?p1H%|>=U#Jh=2#o{rn9(yY>MIK=?GnIrrOo3v)DZ} z%0N2gXIg=>n6YvQLfTGr83BToydS{^^@pE=>AkovrAvXCc*M|OfH z*Ady#mpLBDbj(TY7{0{*N-{!cG(}Y4>S-s7cEy!+n3eY#-Y-Qg3=goMIJXkh`X;2m zoGE>-7!?s<|01;#lU-#dv-x5nGR;^}iD`Y~N}Bd85Thak>?0OeVzR5ul)hLjM5YHz zDlx5ZR7sQBQZZ^=fPHLb!|ncsX_;7vOmD4lhW`l;+kv}dgt6&+x|C$kchU1g?=nPMR_o!L~0X}zwJrlL(^R7`;Vt1Xq7 z>?$*vZ50cV$z*#aruB}MG`VgUqs9l=yY8yQWLKHVZx-_GF2L$n>&us;sYHNzr z4>W&lE8`Wpxt4BqiM&lA=MZ^H8JV`E_HG|>t+rQ2XE$AA{Yw{dQ@p3)h}YPtg^fDM zQ(dyOQ&w;comxLNj9omMWt5P@v9LE{`m}*fV%`w16*hBx2xe-kHh|_4o`4ey(3607 zwMCQZ@lHZCWwWPYt6_3!=Ao2U`Tz7L+}`!2TsC%nDej(boA^1JqU>qdU1j%G*AliwjH-r8R70B=RCBVE z*n5U)A~{IHV^!g3RrumNMYzlcRoF-op4|y;n0Mlb4K{&_ns`-BlB&kOu(BFbhc;AI z)b!{i-kf3Tq*H}cRpAw?@SfL|g)waAiMCFSRQ7Eu`yrLx^WWKrA-kK(epY3_sIuGt zi--0v#r27{i49ctn=1PQl|ANdWn)xNw2CLaY3^@u{6|1qs%oCAY6?{~c}4$|8sm;) zha}TPXI1#4DqNxpoBfZ%f6~$d$9~w*MpaW~xRQ?5mD0-Z&HrS>LJ#pQ+R#oF)~dqQ zRN?ghdylGd=u0+KtzbhvRZT5bO+8i3#aD_Ad(`Bl@<(!-dWb`3nmXC5!i`m7S5-Jb z6?RpHF)`9-+B!L??2T0R<|=!U!e(EV%h=O5ko~_Ht@UR8Z%1p*_85>Yxj#DPe>YmM zwiB~w{clI>vUX^LRRtT|mB1MOccXPkJJCDY)Txsy{2z?gnaQ?JJ}UcvFk1gW_7N)k ze=u52Q*0AMRQCUFw2pAcfE+mbqf;iRYW};?YV9uWNHI;EsS5vhqxEiEw4qT28`4!Z z|J`U^+g5Bh+ca^5D*WG#){$hx$|FDGut!z%-;Gwgw&I!DrcRP7{Qn=VOXpZQ9Z|Xe zgTdNm&Ugcl5qKEUt-6>!r=4LKeHN;lKvY)~YnREm@5rUSJT7Xp+Mq+N&6NFwz5HBW zX(!&>UhFovbK+D|5L*r9lJOJD`B5MO30SBAZ3!4a04P8`0=x*=qySn1TnX5v0I#TR zI|2?Uz!d_j6Of|-*#wlB(Bt_T1=&EzD-&e7r~vZ_xI@4V1&Ai#903IiFob}^1bkM2 zjs$EY!0;#8865#YKurZOCSVQ$^<*GX`>r)2lL%?5u-qabhyZs5$Rl7N0bLYeD*;^y z=&JyW2yi1{gaS+=z@C6m1@I@plz{OH(3ODi#`JhTMM0bhd2Nh9vI3YBaF>8I1^C>^+V0S6QygMhgN93uc9&l3olOvs-K%NPQJ3AmvE zy$BdYz+(k)C7>$-MG8=xfEEOlD8ToY2-GLQz+di(rv#W0V5R_n5>Uz+iKVHn42K}5 zKWU`yL)+PkgXc#X$`7R(CJJc(EA3}fwGP-49@aKKkzVnyptz^Vr@0!sj3qr)%k86tN8enR33B03Tf zsQ_^V)F2>E0frF35immmIucN1fWSNj&=K%}fJF*mOu%0TqU*vs7G3KizU#O=YHzea ze4~Lfo|#2maq7aA)-Cas%^B^35FJM+>1VXJ@DqN}=r2|gT^6-A>^}}o&ZweJ0#)ju zy3q)s?X!?mA6(`CISJgh%G$pugjMy!Lp|>^$MXEM9G4tmY_o}YTl8ZNW@57p7uKR? zmVd@ot<4|El$*CA245d-EI$=IBbaD%ihmY;RynaAN5uG5&aIzgnqwE)|8yeVJNcEn zzUNnC`Ju-C-zT9X#F}RLRB#2i#U_qyv58o^Y69C)MVz=gfE_j#udQyx(v8LMt25YF zBQax5E2h(m=hnEh`9`9!wiVkii0#+5Gpb`G>v3YLo>x`HjcYrw&Vu-0Z5LL|i;nC3 zS+%O-%ynLDJ1?GG*Pcc3OV@X1zJ{XL`i`uSiAh+yU?3jDS`=HFi6jHjD-%han3UOB zEZt--Ud(i1QQXoENUI`xZ9tkvOxn%ifQHinmaC3h) zf{CquNnrOlaqlnjERYkMZt2DU@y%F_+tQT1(1@G2v|<*;;_WTn**J|@e``lpy;uy` z+L?ci>NjrnV2i$r`B)u?RflaJtom0mU|S3R>vv;u*|wHU_eDIn&6A&n)oQWuA9{1dcd$M!C)`6uxW7B4@F6Mq}d zeG?b%Xv(e@i`hH6GP{pr>5eY!&IhsOj$!QWS8?smrtIWb@!Za?%=Ck3x~mI2|Bp<2 z_(j~ct0~+6MZCSMD=U33+U@Sbet$31(5j=mo3hQH#TUD~vN!L<=D&4eJKo8(W1qwe zzcpnUpTw`fb!89Uik}vc>PjQY?OcC%PSQWli6Sfd`z~x3}Wb1Dn_8gL7(M!WZtQ~-mn}|9@e{S^dB-*re3Ln zE(hN=YV?mX#Ayc;*^(l$_dpX~i|-D5vi5qhRkpv+-RJ*mLU#JUny{@LDK}wR8T7-1S?S{4>_F!G zO7!~Oi@kUzru^QX?S3Za{oa`^d?|8AyqME7vD=aMtnjIrbOguu3-K6&;ZH^3XnW@J zRP;RBjTycW=N$E7+Na{#qwehd6OqsHV%`N}4=lPr5mR&Aneh|xL{3|_R4;zZsn6Df zXqVfVb=Ql%a$B+WkHo}W4>tOdcr>>oTl!r5mfM*%_BCIOJ?6nY^2L3}IQQ7lyEb8RAbDrm*Hsha>Kf2coI%8 zpbEoEOS`4w0oWOR%dz+_s__^x@3LcEclqr6jDD|7mS0tU3_)^>F;PH$eoSKuGtdvgKO^1HmOZcm$~F7j|U>xHk2-yP>OL* zOVRn^Vw-~kU9b0H38?+LwM7QX6;xO0-YPv8=>x}zCO52E#2B&3jle*gVQzz3F95)+t>+9gR|)I1zyKq5#qLmL)@9dfsZ% z;%YgYLpfV0v3ZVG0%}a~eTrv)f>CVaEgf4iTKwZyBX)DN`1Y2o_eWH6BE-eiE`@d$ z8t<8%@b=HZ>)8tS_`asVi*r;2O*~pYk94()|+Ux4*6n13w#k*v-k4-SO~id*u1O~}%m zi3B7DilzCj4d&73^uVkR54#F%YM7Yxw3W?D(t!z`KLm#p9&qD}=hJ$}&zRj;60$Bn zt;(^uAo0$#g%+0+Xe0bU-)wMcX~FrA$S@;Foc7#>r38sPpEqWY?}*o*_o>8)E#qa$i;^22H&vjBL<)iWx5uTE@xR+imtIh%fo}527zLOf~NK4!&+>w z8}U5Gn?q?kb?z#QZ=e`eU}x|^!givA#EgQ~b{*++5H^M@Ou=wC7m$BtKq*HWaZCd^gYYzqAxG(Xt1WU2iRHcORlQQ-!Mj{C|R{e}su|Jk=(+W`DXCiZ_L zn;4}OX-cu}%?1a@z2&m+Nw%v`xvV>WB-x0fAJ^il6B+x?o~A;~{l0i}3VDAa zl}+!vio`{4Qa4Q(L-y8G+4J8!vE>g$lYgApm3Yw|i?e}Z5EiEc#dIvr1d2!gvFfm5 zCx+&CH8lHiEPAIM(fvU|n?aO4DrJ>QNhgZX*hrJ}OQ2}_p(9%pDE9qe%^uwmBR(u_ zlG5G?w@4lDouw@jZe)(@-?fvG^N9GQ7I>%LCL4X*iS<9$XWQMyZXb1t7K+fgA40Q8 z2-o$rNSyxC{OR7=8M?<-Fx=fpQ+_()o%+r@^?R-f#;G8^GfBvGW2z_psMBkxf|wt5 zdc#%_@S~ncue%ETegO3Dtiba}y$aP`f7IzMNP+#2x+&Goe$?suYJuiQy&BbvI+YuU zGnb|yzr5Z$7h|d^xL6M4(vv|!-j6!wx~5=HdEKqFU{j~8)t}ZHvh6|3+H)h=zMy5x zIbW6=wCpJs=YyaXhvm&6Nai>{_9O_daoiC0HVB*xCfbTBPL zC-5b#r~E{NAH30Cjovm59#-XQvy8RywJMix#E=^qbEgMGh7s4Z-QG1Q-4&Zsqyx&N zLuF}xK5{S2P@XI>w*!>RSE2f;Yrw*o!>wg7j5g+a8a!EzYDNUZZ^oQ0b4`W6sNAp` zUK?}0*}h>fAw&r3OQ;Dc6(D0WdV<1{kyePc6};+#qnP z#XTh4qBggh-CGQMYjgc-FIcQ7@m7`OkrGV8+E!eDVh*?B+LMwkR;UD%@|_izPx#e3 zsKj<5jIWDI{#>9a>8&cE^*skO_eNdr6ER=3RxGJz!_8q|=EE`@RJLnAJhb7uQwBG- z<@yp|ysgsMep@a9GaB6LacyNI>T%22f_cE~P|kB6^tI#O*Be2{k10BuhL!9~(b1lm z{`Bi(VU#_llG$9CVbAq8JvoQMe4-!=r}o_5!EnQ#>uDc_V1*oxDa+w_Sw-GN=u{s^ zK-)Pmv_5v*%h||{>AwvtrmL_*`T`12-_pUrIdB%%&nGBRke{b9>;06hAEqBU8(baG z@*1s6CLI%9@6PI1nczEZ+>|emBpI!b_vusT-?=;!e^r6n-Cs z<1{gHAtj6CG*qtq*zfU0%yPasALY!Mpi%X=D`H=9)8n zoOJakt_M$|Hlw(UvS?uddc8agB1Uues7hKmnhRw0mf@L#zV>ZZmrv?5?}h$4SMP<9 zI(xll8B`DAJXpI>@Co7uvT+&Gh9K@YP7#uBlnWJB5XxK%Q6Y-Z&JYx;wiLLroHy$l z3Vp_63Jwm1rDHi~+#2;-WtS)s5tjGM_uhJt-K z*Np{+N+ID~8;->+gmn>^7(6WD@KSQE+3HV+tw;S9tCQ^NVh0$PmY z!q~NBSUV1*j=k_@95`bYSlb}Ys1cmLazj_5nD4ICM0mns9tp%*6&V( z7Sp(F_8=4rr*S^)Stxi+=b~6qDD0e$)?xkebabO|3na|onzKuY&%k;0dMK34;F@7w zYMRJhQ#;?_XnE&X%$TA$s5evTeE*r;7}hsV%A3h~a`oxD|3L55EBJ(n&kwj%(#%0h zd{W=IUCx16sGrQavzqnbr(~`j`x*+%lesHcN)ahs7mnFk!*8>>VeC|0s5*!1#irJU zL36NoP;eEMrJ;~N2S;<&FsL(^i(u8lV9s2uUrB)6x!h)E9tOelaI{*4!G?LW!KA$Tm~ zI&h?W%5ts~`EqzU=R&>|EXN?CFTQ|v^kq6=51}uXD->V)uRtG*f?@s&&YEoBx`O*a zwy#@>woeFyJ1cR_quybw6q}P)ahn*M76uL0V$jg$h_&eStS~sgmeaGvVQ^rbf)}qt zd|BAmpVo6+owqzrwzKnMgJ=c3h$Bmx0W-rOHj@jm8a7{^C89EB+T2bOl*RZjd;kIZ>h<~*WH z!g|hyF!0*Qf%R3z0AEsdO=X~kA?I!wyx++6wSHNF;kwF@M+~pSz<(3h!`diKcA*Pt zxS=v!B!+KcaC8&b$9nTpnPD(7+*BFziJ@vZIBw>KSYJ^XWE*a&3`N9HI~+2QfjNlq zYBTndE*z@@}$@KAju-=M|3=Nmuw{iv?>o_00wjo1AIE>hav$5%X z_>JmG;qb>c90wOt!R=S9r-wuDU%4Rm*J9X1^~`WM`zy|hKZ{_#9qW6-!D&0!$vQ>0 zGPXNe_`EDoWMN)7Boo6+g+Y#_zARA0a5)_AZs$5!56<`z>VmRB5yQi9Xt9I)iTwt! zcn3E5E*!S)!10lv0WLeS&LW`aPONWO0T-xVBLbf7k2~V>{ zIPaytp=YxtMbh-$+&zw6o(leZuv2g)nYjnIxI-e~+#ar_<8R$*Lve=IMtl9N^zWsI zJ!z#+Enn$zX|mtTxw6Oz7_gUH$Nb;HyS?0Y7Slsow~u=xu(cy$vBWK8QzD?=A#Ny3 zj)1sBTuZh#0(KtaQrY1MXnUAj#I8lanZsNNdlLc8vvGE0kuWtI2Qsc%dD%GCkfP!v zxb;9qaYwnncF7U+H1R;cK7pq34BcHh>C|C`+MgWdMl-WW=$V6=i(1#`C|Y0SC|X@} zx$7JYwF1LqTp?-we2fbw^F|%V?DGzRX~#K#<`fCHkE4HWBEjSYE;XoV+6i2G21dfU z6S(AHz_^p#RtnhmlUy6eKi%Yj`78|5#pu^h!+>chV1Lp!S>76bv5@#v^fWOrP5S*b zu6?X+6x=w+1<<10?mRwkY;=am^V|Shl=IGWdCcUFH0w{U4#$p7hqV{DpJ{vc?E)9f z(TBjlIUk;_&4#^~xp4D5+BYPZw-p)!&92~Vj7COY;Q|zLVmWzaB;31#+mo0`Dc~w+ z%9$MEaUgIoH;?Ejsvb_k&3xUjQ+~9i0XW@pcMkvJ{ujA58>PfpU zyc%z&FLr>M*RjpGNEmn>*Wj6vka8W3PL7ZsU*~3UCWd2CcuOz|FM!VV4J={SP0Wu) zkzjrcjqx4}8MkmXdlMl&y~QnNZio8HMoq|eBNJj!S~;ln9P_<2hu-7k@~fYCyn>Ct z+~o#YT%qqeRUb{w;KgB|)Q@`32CCgdi_o%8_i*jmHddN?kF(}!PYU@M=pB(T{2^{$ zvLa!{L+%z^-5w@B;zl{j-}7tz)Av%hJ1>W)doPTobK2+nE2F;S`v_`1EEGOG;@Z}r zgDd?@|L>*ACpcOoH0`0&V_bRvj)WzTasPNb67D?4eGKj!g(uu2TGjMVu;;Pt{giWT z|1=Wiw~zQx**gU@cb;l2EZb1-Hrc1j<=a;ddhooJX@gNte*;PJFi>L>kvr|;kuEm%sf7R-%fnd2eQl67a!(Xic;HDaxzr7M=~5o^$M8U_QO z8tnfsw=xz{oGd@gby06+EP_%ihSxIY!NfXjEZesb?$%+Q88;7X>#~ll#X|6}i}jgv zVQpR3nq@D5t94l`_GAtiSu=OmdjWK_#(L}=m}<>D+2u4iVvYRu=SU^i44;?eJju1k zw8X8|AY0}u+r6nC>O#A3*JC5BWR}Osauk;f&0#v8!mT5E<73B;Fg7$Aj2zf-<{u5g z4s0s(83+#@SS>aw4Zb)q2i7teY#o^$3yFqKj;y6oxO|xZMnBLR+#0dkuoP!-HX|Bx z9odAc^O2~(Ugvu$Z1_c6$K-IwDw=E^<&|avjMAY|n1;)BY!h1<4ILV=i)?c=RBOoW z*>BO%ydl$7JFL7a=#_d>A7up_bl5;#L)MKQiH4&M8J&>A?S>4`prWBkBesOyjD{nP z*i-f_TH4f@9pqWfNzzZvSR}`Mqv5PGYry`%UUz0zto>cd$c1ea*a$~ye+#yhW3QvZ zyA|tf^Nx&8s!GE)Lsx)P6(zZRQt=hFwqgOCU0A#kJ!_=Y|Ni3u(|h3>ohOD=?-KzI zZP*kxGXmDOVYf__YlPkKy8?Gd!K}8dsl|h6N{d0d#_APAre8Y>j}=%2tks*`91WdmR97UUZf^ z&;{!{c_tWIT!IVj1O1!NC8a*?itqryG^Hi2&1nht`uYCwq#JW$2M2>$cVF(Vt3SbVF7P zQhKr()%_F#9u(`4yl7@A9rtFb9Qz&vzP;I3%CBC1 zFttmgA*~N<&Z@*nNBW=!$(&2gU-{W1dQ!?ZeK>hL6VAGd16`pz;X_k** z7SgD`48MA@Y$$x`$82%fN>+YsEyrrafYhITWPTIiw*hP|^N5!E4rJC&1dPKF=1+hb<5)Nw9Rn}M;q9wn`Z`z(@QPwKk`%>8a%@Hn)Q-U*W9D{>VT0M~ z7+6O2gE4S~>PKUs=6HqPemvH1$H0>DtRe9q9?uRp_^4b;z2f46*WUid-o@mkuU}+a z%i{{an5J+0C%hTQ`h$J~t3h(#CNPoNjF*;7WTsqoXZgEp_y+BizWzC-XQY#{teye0 znkYRy2tTc6XRT>2p^X{733#J_ zxrwa7it;4dc@2)wF%!Xm4Vy=)^=u7B4$B5>nHQdrNug_5Rik>I6V=7kd*M!961pI3 z&|54kDa{F<2#dEeR}wn5mBmmGw%o>MU@2v7V{aKVjD{^c*i|xo+D?|l*xwW3`)=mU z-cFR9e`66m!-K9>``8H9H5fkb!+GN4MChJ{r6v|KsJt;idYr`?m@vawFv>&5y0H+F zhlB>PaDYm;SP+h3*&!AN9%FvAj{b6t4WZ?#gvwqxR*qviFcx-EIWiWsC$Pk2?`JA; zxjR55E@#zFVu{OLFqL7kaFoiJSg<^W<>Xjt+$lELgiViylb4uZ{kQU~#pn8>2pYl9 za_uwmx?mu_OUkAhJ7-}mw7$#|$liUInWueS`Vj$3;>EpzeXi$5#GSYv|K2_zX&;{N>>YFQQwcW<#c;}t5`_#l-l_BO6S+hSqEZPuHeh=td; z*C^K(=G8wAhK~j)a0PL;5#gi1n%F#afimWzKcd*9p@gSZcO&RPf$0OE1w{a9h&_FL;F&d7Kf|z#DJ%4Jww-}_s>}y zE&1B?HS0pYMZdqz_^Sn||&1g?+V#5Y-)0jWRj?9Lo zCj4_&-4YV2@lotcEWE_h$TZYQ^WM~~nsF!uR_E)R7L7I19BPHRfij~^)HdV?)zT^iLd^L3%rXR)wC63PwPw7IqZef-%z0g#fI`g0{AMMk$;BLA zRiTFiB?nz5A>j-XWDI`@<4&WJ(rntM;R{*Gwgz95G51N(!h&y$r4(VoSLe+0P$>Hs z;wi!I%B?*~ns3RsV9a_F`*HwG?L0NfdhVU+@M_LOp}!rr;x-h< zVQFNEJRzooY^x20C3d_8`!qz_YR3o5=2Um!t*No54!j+iMiTH{3j#cnRNH)Fe&B1;sap4^uSIA5InO?XuZ!j$>#pf#zdbB%WR3Qnv zHs`&m3t^!vZ&O27xEpyD)tgOAfOF$(NtawPGn_mpV`kj1kQvx|uc{?@9zcY{6$nz* z7JMF0J%7G6KaIj*!l`GpOe$0@53`-Eo5{nlDV zia!1@4D>zuxy~LcWiU}H@pi4itLSrsH$8bP*ze7!vTkuw=U#j_&S-$D!0R8F*^93Y zn|t%ySxB7Z*N4Y*srWcp?aMc#z1>+~elE+K3l$NRE`IH={v4`3$}q;NleBgYcsp!xtli1u8u z1Ncy?-yeXHPKlFh59IOv(SSJUH;Dh6jItlhH>7iS$$JQIqD59|$WMGdE%8nc;PJ_7 zSsWOR;YYB|aS$>FTg!@r15}=f10fL0D{(L|koRMc;^3D+9&ZlBK?#*5aWFUt%c}9P zi%RQw&<11a91lNJ**+c)P>DzO)k3iJiHBe+BXM&@I_!8x;@xqWF@48kKwCwMhWpsNA|y<^i{@qzMs*3RpQ~F3MfqA2N;#Dr%?(h#ZBPb{gAgfudrU4 zh}!SRf>SJi5>1ziV)>~YTN@9NlVy{nMU(kuJX;hC4W{xwY}f*6;#3@mtlwNoKaCF+ z$cq6p`KHt(i8J|uJjLN^3SYu%hQXEDxQw2R2a7ov@3Zj`GKcTSnukf!9KH*O0hNm9 z@*zCEz6wm^dyvGAG?c&>KCjYvpu?Bh3l#jq0>rPyOVtnr*7)TJ#~@r7)MKRB+& z_4P`EG-5TsuL?0#--wBb4DlQJ$HegSCcZH-%-zIK;)&t=7KI^ntHR*BO<{=J#z*1! z$1c%3ELBXbUeUzwI}{V0ck&XM_-rS?N;YxUZpFmB-HM5`e&g4W1@?PzQ9ui7?&Vt> zUcrlzdb@ewV9ApzwWN@}e2*&BIlCpkJ9W+n31?L5ocL^hmOwfBEeHDoJE=IAcW1Za zrPg^o&#~w6(Eb?zhJB2enjXizV!{-u%?bXxmYGh0>Sy^AnD)|@v-~2isy+QWSjfb0 zrLgN9Uq?zl&nF7l$&zr92aeiadkOod#T2-Ii62D0-0CvlpLtA?=3eIUZ3Z@-eua;u z^7|FupGyC$7&$CYU*$unbh(C8C6=qNDRurjzlwQHk=9@5d+JzDBIv)OcX5f(yqN#P ze9}Hc`Rf>yM)h7OIOgIbyx})YRXiec`i23l@(YB1!#>5Sbyx}ir8?`dS{i5~N z--b%F9%{4n7KEC;RvVj5I3+n+2_k2*<)WdcU5trp<8=gAMc7iO2zOS6>3R_f zSFbDdW1UaHsJg;bh111R;p|f8oyz%vIENjF9@b@?JslLzvTHVZD(78mXijn95NwsizPc)!a|S-=*K`3pWgG?~Nbty7?}v(VD0zc118 zM)M5_b`}=a`b?2LMK-3jUKia=mOWh;3|)jKtV0sCbP*abi-|D8r7YzanUZxGx~Q_G zptoCzQ(&2k;LiTu4S%}`L99T4*3E^1=AQKPkinD;>d!;M%H~2-Ht!{zrqb9E-cwol z8th%MykrW#u0m9u(O&rDc^I=$YJ;AuoYzlkrqQpOE?spM{=n0{A=0`Q!Z5}rM@pYt z30Juq`mW@b`~{Jx_37g&$Zy11Rv(2|5Ye-xkL zj@^-$f|8CxFl#>xf;tKJ41TAW)fxug zorT8CCRCcxSx7Zt8>UDlJ%r92^GlXGc?$u}b{b@k6f)XdxfsgVQ1tTAaEd%N`J|$qt*rD5Yz;JCsVC{CF3ngpbD3c`%CyXt z5H(5|Li$dRLVeeVFAtELlbQqw`1)aS0{D*>#JM3}r$WN9qRA;817X2JU&{}Q6utl^g=oJfCo&TL3>KPNw3ekzQ!)^g$vGZ@U>qVu zx67P{xf)5l-uNlkAOF$szFJ(W?^lSON7+aLz-?+(rD1&IjoNcABtTY(Fwkzx-(-$y z3Z2+^2d3zr5I1pP%uZ>hOAW>fGr7iF{*sMuq{v=Q!2MX-8r?SJ)!(^-%_!d`@N5Hr zg$j<$`fqq2DmYk4vhLX0-f4JkTh<$^^V2_U4bEYLquHy|6?NWAfPgTet63cdt)sN` z1g#7c8kh|_CG%P+=*tAqhY5|%b}AmJXi)-Qz!F^l1^tYA!v!m|Zi-%&S2GRfg$uT3 zHx$1bD0+8keaPjCHpq9|CSEEoEr?Zr7_}_UyDRbW zfzUTXuyXHlrJ{7llh{j+B&}1W<;xn_OZO}B4Lyl>$OLz@kQ)`HO{c*#LJO}}L~Bn2 zmqd0Of_Jcbcm{VjgUY z5qi{)#^&e-1^yh|%?riqA@8Y#<>OKO`f#uvFX*bjI4<+hi=3nj#qx_BDR{iFg0ZCO zP&!fQU^O3q#?CEI-{&+ObMHs_oIfKbm0nCfhJ^I4Fcj|{;saN&eZD9XY+&f9l;Ko$kvc*1w z_6fobYng54tIssIrQ-EiA56q~Xqmo+H8h(hv}DdrrP0%HP2jaIhVW*BK`rUx3|ypY zE^S1w!g>Vc${NzF^m{E}*DMs+se?zegjX!;o^&x;n9f*qL+CdLHytN$OH=0vnLL|d z3pVp{)i`Jb-t&dsWcug%xD|Fxl9r?ifvlmS0R=rnmq%9N0!1^ga(R3ne$r2=gH5M) zhncB(%;dUAD6Yar*8t~bLIsxmlRY-!L$QhmKsWt=~5b9MdEo+=7oRtgQxyZWYq`UrG(67h8oY ztk#+m++8HU;(Dc?o|J`YN*7Mte}yXBh1;yi86IsHqH1}3tH6Vo9O%~b&8-l!L+D|e z`Po3epIC=NDSn3Eci^zO@hi05iHqjN8Q{NDaAp2UuxO|7(j%6FBmaa&oyEmv-wPhO z0G*zX6p9Zg<9H?KjJ^lrRNfbnzA6b0>=OF09ZA4;3y$V7_#2kl=Ct4KO*)SxL7Uw; zmO}Bm?ry=3xhG1$?-u;Ix`V&dxHe5$i!VF9)Aq|(%V;o8|0cQZ5i&S-JPFS16_)d( zz8ZkrTzua=c^@`&{vSB8PncrsT1?`x`2C(AqW)jdW86RnK=XrwofMxX%;wmrw^HeT z9HqSf2Ll+LUZoaHk%UdQejoo`e%e2k7~TW9O0UU zkC~i|mKrq~3-v}$a5YzGT621YoQ(bH)tv{qWD@~3@`NR<>IV2FPjF))nQ${ta9~|B z;ai@tlzo3Hr5_Wt9Q*VH;J9$VYV9Xvh-K0@=s!$r1-)h)nzN^m;nx$w9A=v@xt$d7 zj%~GDF!~Q6hTgDW`2)3Xdkj_1;-hWdn=t6Cuz^XB;L}+liW%I1vFC&kw*I>G_c^F5|AeFbNu7#;$GjH}t$LoM0Olfyot2{6=@6=M`Z9+cX^$QI#$3@VC_g0$RvVe1p*wn zE8ME_9Vb6^{gYd+o&uToFr_z~h1d6lwzi>2|6!L=GI7W18q@G~z6f6T1xq&MFBo=T zSjj#o!u$Jzn}xneOEco${HEwE&WMHjEk@w}00(&eW75wLgo!+*$K^-DYj)uT9D6KS z7|YjJl3&^DXJkSCW1$WcPeAcwVZFI$GFnYvHp@vzhjX{jf*nur5$ohEIQs-wyZl-3 z>WN^>uFL}Cr$P@WnVr77rm!DH8U2mH)G?%ozQm>wewzgePw_dXC>efzicex#R(&Sa zqq2!w_Iid7U{W63cqVw8j>|L9yxebUC%=}9Sqv`E1xM=+2o@ybcXtoxrJ(l4q&)!J z$(@u8kfH|m%euz`Cc>!s%?1!O}32n;>lai&5uLL8mVvNFG<5|zu!;t+N zm)?xS@b$GY+#&25&ONC-{g#k->dl`{9M;Uk7A#1S(_D;-=UkXnD5SFfbCcMj8YD7g=G~@ zzV#MO4mtqgT5V_UfNXKIFBkvC;-vXy7VpD$&|-|qApAcz^t}Qhu@I-JKT;v)JsO`+ zjI!}EBbxE&do*5#W#eTS%`o@}jen2|(m!Z?Qd*huWl}C5C`|~KjW3g0`k}J%y*>(= zY||ci`BCW1#_WM+pM=)t_6t$0KKa}Ne;+xw*8-UENoZAdIX=(PdSefEg+eQbTj$Gs zbX9sKiNxeyDHGFv#$Fk*0Mb7T{mk=;OfR4nt>{_NXY!2T*5xpI*7S?8okcHz8()NQ z^Q1*cZdOi4sR3WfGlHGVVU#-f6_=a}qb;nMGHHjJNjsE&3Bw1O%9)godSshhE-ver zlbx_%-VRs#rPt!+C6rUdCwGg?hi3SfP)-pncZ&?88Bl`V60jJ0ey5zmAB9$Os!S^9 zJLMF?a<`O8Ig|>sD;j^cRG7)SY+dG~^|AVA3(gRG4ks-|AqVn!ABy8luxyXEpSh=q zsC;)yMZt{ICNk&aG!fXE=aZ1^UKu%;$bT#WPp0i-oPm0c&BJsn)ml zTDe>D0d>jU;)b7Sg3dI;e#u;cu-q>yyxbV$-tmyqEuKV`yQNI%HzM}{a5W*Xh%9$Y z8F_&Tc}1|?Ei#N=oiRbLd;#LBkyk5`EO$$pRADvpieR~0%A^KVuk6*A>gZLC6>_qj z1lcRiY7|nu!XFwZukuaNt2}}guTqUD!t`7qcckYA)Ftm9CS)od z8DNcuX*MD(&+uh%p0zfx%IFb>nz+tzv4OTRi`WVSZM4hTl`ZhnMr-S~e@mJBF*H8O zef`&VwEd$dENNm=bzw*i>J^tl>NjCBmkE1pvF|;p!S)rHz@#2VLWVn(!x)L4^|0?Z zXTqm?*!TG)B@gd1Go$P<5;80gZy83Z%XXFfeu_OsVvZ7tIdUWdHlwaa)ReeMjYNIw zqF)fUuL$SW#|dWJCh&AXy90>at|EDj1KKUavOBUewBUvV+I@5r%ymS&HTX;T%C{}b z+WPK@cFVBrPMOpgopwD-?hkwe?NZig9enPgwPl9u;9vu77q^pZ|2^Uj@ju`?oJX$6 zS*NPUh}X8nh~KKI?Nl)#QX6Xh%{{jvx4c)}i)PVS{@75P#*C97xsf)EWhO#lBW+JM zG!fc1)^=cZ6Ct)Ss<}M__BYnLvTZZqSz~P@>%R$`m$kqD^7x zYhZU1Ej}Nugo{nIwaxR1P0kCM4P*4ViFPqNy#-R8Fz`ua)}3BdO82b5h9Q_!9b+RCdXy8;+drFWcT^0@N@uNqt$-aU zF9+okYQmtPe9LN3+)z7*6~}|rcUO!|(lRA7_m@JxtG0G64K*%DMrM;Y$ZkeZ(nLF-J^K|_x?y-S ziAN4knW`^t7#j@&35?K0>gvl&n+=Lo;y)m4$qGkCL3UQWP%)?GPQSG zYJaa7p2MxQml&G>3tDS?uQbJuE3T2qM2T1o1R z0nzM3;Wp(k2ITk7xP&y@17kgL3CSd~>~ERWBTrg136|p{!zk6mtMU?3Ky`;;c`tA^ zNS-+D_oI+JYX#s>4p84;?1DX&Pq4gODuZ3SVnx{w$Lg9RI6#Kgpg zC5Bi@#9ly!C}P(*8a0;0SP~QaDH=e*f)#sL?1!kJM#X64_nEr~V!rwD2lwtXv$Hd^ zZEkOGmnsEY7pTf;*n=;+t5aS(YpEKUk*0Z5Q;nVOc#Kcci>vV7>OIiL?605~oA@r+ zxtjW%n*T+|^j@#$`Q6#^4iGud!VeyS1OxQa4t zI3_>UnQkAN821UvXh*17Mv=^3>XHy3WWjv=h6BeJjnlvR7M%)r>gKN3@~7$jPg@W z`_$S)xE!F%pIW!^b@G;ta3CT+BU9 z%IJf;l3z~@uWh5gdlQ9n$gEv zMcnK_fAq0pFWnB>^FG#T#l@=z+Sp;%K#SN`pY{#6PAD-Z-D=Eh2SWiY6ws^Gr=Stm z8e;38+UOD1suq!cihdkvZB#n^tjRbZ6NN!|XWbZA{SMxTjkJ~vK7^B8_zScUNZ|>< zQqGPBlOHo}G>H3r!$8#E7B?y0Oqpk=L@?zxD6B!*x)d4#4ZIVzsS(!6*efJ^$+u_) zN*x;fE%4)iYKy+LVuf173HosqG+TPw)XbPeEzqncWsPFZYMPqyawS__*yQxAv3VXMmF~6`4T?e|;(0G(X zJ9kV;<4Z2CX}orQ$#Xuu6Ru~qtAWTa<2tHOvQv&Q#o0~?V9EecSj8XQ>0G>74wl(m z*aJ(_&^eq*Ni!4P7{bWpY2i#j!QyPH6LWen%SO}r0 zRCN|w&s*AE+GZA_6KZIIe%p(hLZdmUlvPORGCL)LDUTkSLVb%-$HfqeN;P(|^$(*` z`H{7_O*C@Q8n3Zd_3v$<7ca65w35k&pMFhyITj zkZ)UW?ax>D4c1O&J1;R+Y=S)T4qHJzo*v+B(f`V&2^)}bb&|GzgSDtAyJxY{mojg`Ge;Y3h8Hi-Fu*abjCE*=qHbYSh#;IYbP3Q zdGmAf*^H7~WH6zDbIIMuCM?{@D*Qzuo7o>p+T_jFe=K6*0-E#(%DdrHT83+InWv`c zWTfH_F8q|4uOa$P4ioJwRDy488h~Q7=%*yMAT$4DtKRosEhB9gVP zJFJT=URM^FF3+>OoU0IfZ+ZX@KREG_EW6;O?GH_h%<7b8ifWlf{<|P*L$cOqmvxsV zIBl*eO8PHRD|Ayt25XazhcC{^aMv_DC7mhfL18_MWm5m$5T21tb9Y-eqL-s4d#roQ z&Acx;V8e&jXEekAS@_;oTB%8pgAX4J6L>PyJ4ZvAJZ_N;|*U4E*})dYOp4T z??(Xp{WO|~%Buam*IGe{-TNu^PwRCz<130?lZ_xC^E#f_lTdeeCR!_sGW)g5iQE@T ziQ1zi>s3p+`79hHYFmv|kSn2Crc(+L|>CkE`-Ztu5V6e8dN?M=0g<8`_X4_ddB z4neS?-``r&mPt`+;x+k@7!BIJNp=8*M@MZ_GSzYbe}<~==rIAU#7=~^vj zJj{D~`0Ia+`x_5GM-V&>=GW$5bNGUJtytrONZ7IE$XRXt_BARZr} zyi?YD?1%g5)@-4qXctdg?+Z~rg_6!$kBMq2H2XXvrG_b5`gtohE(l1`ye?WjEuvG3 z*7A}SOXN4M)&ehE-LY{=iuT17>qq$3C`DUz)f#OPeg`%8>sD<4^!6Zqasy$aQdX00 zTH8oscnWpChk$on3az|n4H45)wCsCUFN@feN-htq8$`%KN_b%XMI1@N&J@%L#Aj zd%r}bGv2#mcgu+MLvVc0btFBrR&pD-6GdX~C}3_LsQav=7EGyGn8I~jfA}*+GUdgN zLTNTIW&6+cD<~py2cL zpRk&K21Oj)!s>fyw_jRg9L3;@6#lohv+%2kS6R5)Dw5M1>tL>N!`@iyilnZz>W#I5 zxkXBwHh=RLDI7k0?xWp%V?AsU85_0V|G_IrvEd+Pzq6JRS5ipLv*w6J>$Nv|R(yQP zRYpiVxJn!n(l)L#6C9;?;t8%!(paWHcakH-jOkq%@ZqDB4~6#@y|&N_8$*ULJ@y{~fSF zJ})om$B2I-lDd_WI#`5ArGBNQvW|;xS;={jrL?xFw4@nuXAh~Y_~oV+?g3kw?^Zbg zuGg{oh&0i{JL^sGlnU>xcf?aF>r{QJWZzluwWl;ke0POrR*;&BT0`hy1*x@=Qc3ZW zz7@q&X_A-przoFF&Ag=$;gd>pyroD{I+YxKq-kiD+6*7~PS|Q|PQH?llX&1lRsE!a zVtikk?I%^|MJT)dq$}d@$=c#-5=>#gmpE@5*bOi0*jOMP4&*ssP445QNwA57zF zOE~W%l{VFuTAJi$81T$K6`K>i1}vJVQjTkIQ+ zddv@*56mTs_r}mhf0RXFW$l>1R33|CQYo{pnZA8JGyRczNdIWSf2MC3NuSq8`b8DB ziS;>sSSl@RAob_`-!_oO`h1S{LR@AM`X3!Ic0z+cpaBnUtVtpqxwxT3!V*Tc8cC%^ z&^Oes5#;^irG+<=>X`CAvdjC3<%Ji>Yxn=iYs~Wc*yW9&c1>7bc`dvN%L|8oP3`iU zvOL2thJJznkGy6q?+d%Uq13K9%k$8}o3p%;kk`WW*Q*xN4DtJC6x~vC7q7yJT1wuY zJxU|ci^Bp!V^z%9vRsWP`G#TtC%tI`ook881s}M!l9Ks)wv{vnSFKZk^wyBw;bT+w z`i~*|-6y8(_JgQ>AY`vAQCRk2_d>E~?JSVJKhTsty^SgRO&jTzMT}3SzuHMSrze~m zw@1C4oT{yCFDdx6tFN{`NZM;~4C-idZ0Klm6zjwsV|!_(J4;V2ya-DUmUfDWYm^u) zl@g6N(S=~Cu2_~zYF9LDH1ytGr8?p#w2rRQZ(weMmkuJBFxK^@ z-G`9)=Du*VUaN!TkfVh&&z>|@`{Gk+fJH=KA_NTUIQ00kr?i$s5A}2D zyhYqNPZ?iGTgxs#DOMc2{vBqW!hM$H}27!hV_<~vTc9& zmX?U?skE?G8H+3m6Yq`?-G{>ythnopQiw)}e&CtZb! zafdj@yw$?6P^;Hp`q<*O6b~s}r@Xmw{mqT)i95|4Ak`MvPt)!JQa!f=U6^CB?^_U! z+Lwra?A-uqHdndX15v%ve^nkNMRV0#KS(Mg#PC$wKUgX$@NL6|!BP$J7#-VJ(g^Vq z=6oeB6vYpc94;*o?^5Z9aH%i0#MS-|mqu8`-6k|-i1bOR2z--;MXQ~8(P{?gi^1!+ zWI8iMnpRU_+%93>Aj!69V%@WbM}+ZFsXugyq{VRL*43efIc54RS~t0 z(p1JbAEh<8FWvT-Sx!7x5EPF`09^I?4d})&w9*j`b`8hCQ+oGNlW7JklFt-apK6Sd zDv1j9DP)8+N{ru62S-S?ZE1#f&awRj5RKg+YOQ&XtLNU3(z zf)qo1Y(o?u+iTbadXxPo&X3FgW$WcMlm7j`(rrCq;sgGLdz>`!I(FQ}^jRf+znx%y zrnke_PSC$LD{-u|l_p1^w>nELBBVyPW$C7z(oR$?0`+A7IoizETNkwJ5g7Hvw`XbC zC~3R!Jg50YO8qPq-X?L1(XH7E2hO#Sm0`*B<7l*mfJ5|jwB#WUoS~v)q^hMj6wF+l z@h*=yrN>6FZ-NfX>4&rqV)D=Fwi?*B;!LR~b6*7MM9;CgSKw4No;osaGW&7-8qITo>(b` zM(>0eI4XFT>8Sa;Oh-M~Wjbn}W;$y2Zo8v?*kd}XeS+zzv;@;p9rxND)oq{Ys1699wcx!oFE))Z?ObQf%y} zRlX#J39&weW?X@mw&Qf>ij*K$ccr;kF>qxcqy1N<$&gC{*QB|8O}_>=<{qOS*AdEs zF5kdtigal=r0e4RG1_obswS?M($3zLJe-8LJ3YB0^%Gvlsq0;Yn?JeH(7RH&xICQB z-#N`yf9nLFMmDE5-AnwD-PLPGB>vOZO#DQMv@Zx-X4m_HQ0YLq+-! zy754IEh-(?F6&Z|qsS^sl^#ifqTOH$e}q2Fr!~!agtm8~Gi`Vzjc^;>*=XT0PmB%^ z`+w+nhg0>($O67-|5!T5SI;NXveIEEFfMHbTVk{07)?WQ(6;_<=hu{xDV5XoC(?Zk ziOnfJM{?_Mq&W-ijAgq$JHx% zGxzTL$5r-5#n-$_$f?5EbsBX3JSBpMf@(Eh=jGoR{F;-y{HLY5cRK7Y!*(x+2a%n!lG~Ry;NU_q_+M6fO%@H$bOTDuLmb8G@3fO;<#18<5rnZ* zYL|bVm$jNVph>{vkwfBx6BObpmlC&6P`Ic3hxp!`JSxbw#Oibksvyr1G>tA+kQ<0+ zC#aa0yjJ`n)EJ5f$j2x7<)khN}9x@~16{RdWR+ERY3L~q@lZAI_dQuH4D5t4%b$O~dG=?@*H&u98 zU7jU!N7I-ZrV2mRfXG*oRJEq5LWi2T?-ofX_#P@`oX&PMz8jbmea_g8H zHT4JIh6uu|E5n)3{UK-lNE%z$)M!~<+*?MHXFXG+R`sA!FMJ`*8U-MR)cfKXG}fq+ zU84|ytkFGiik-vh>-wff@%2rON;i;)i^e7Cy9UrG=rsM=02U`#snL{Uh8XpBWz+aBuMYUGe;c_Ir0Z#3JULT+&0<#gdcS!yc&qm>1Q)>4~NzemhC-z2tAij*~RA zm+T|s^WL~WevKDf_5Nz41-{`E;p%Nq0*>>2$XP0Q|W4RPP`x3fBT)V+?fchJk z2=Z=8{Re}#Zm{g-?STYs;=}y(yoUQ*!^KD@XmSosvsWOUbQW|EELO29A?=IC>mA zZ5&(h2H!*~`i2Afww*go{*&Ymjc1i!`vfPL_h0=CM$p^}aNmsQ=OJ(exv+yeAE`u97U8Ax;$bn+nRqFSH z{JHq~s+RDB>}V0YuTs)-xnGF`SB-q*!^`NSR_Ep=UcO5HE9BDR)>Z1TLhe%Io}E6V zy#8jDL1%s(w22#IpM<)P^?EBoK!W`%k<>^%~hZ@EL3U!RpJaF2EyJ|K zdcZQfT0hB&#akd_uDEl)6nej%zvZCX>13IvCgU3=LW* zuPhd3CTff0dgm`O>6LzwYZn{&9uKhNqZp5QkLP@E@~1Gq{5`(fj+b07H!QpKj9F_s zf6vYBFb*r#7c7MR9k0=V_3{YMU(IxS>f(GlmxIJCiPz}ydby1_dktHR$~TJLf1gG4 zA~Wmy8QH@v!+Um?4b9i5B=nC$ER-sv!9*$&H__H z`oEZ??RfoP<=P_btTymhd9XzkyH3Y`liS%k+pU{E&rI#V8ClIVMY6u$c6`xh=<9Rc z(2Mc(xhDS|#_PYwqwRS8-;rCV>oo9p`Mwx*ojUy?4=z5@e`B)SX2qG(kDQ^- zJJG7&T%(Aca#h(-%E4rE^8i!kUwlqpzPcB`GjqGP6Y3U&+w|W}#O*MQ%oNxTmU+2O z=&^R*+Pk1D*9N`6iP$EvL%>%5I`!U#Ot&z*o1J~tF1Z*x`FEyp$>;}S%}k%}l24FDg# z%Bj>o`5H_8Yae3zqE0uAdV@y$&194B<0Kg`F3*{b%yV+S@PQK`-1!F0Pcn5_pCm_A zDrctFCr`4oLC*g1U~FIz4(o#^nL@iJ%l@Lp4Vs#a)J}=o(q!4gQqtETqJEzJeE3h$oW9E)K3~x>uUC0g}lhL^;ypVaF&$KdX2(3v)p|NWEsj+6pcT?q) zBKn-Rq?w}7eEd?Az$F^%AJ&Zd*s@? zJ!x4gd>+Tq7}!);>2d3j^e*jvbA*H`SX#pD_;xzo27 z+9GU}Vya-Mo#m1{ZTs6v{W zVk=pu{~jki<%IHaVwjOOS2OzQSC7&5t8y=K_6E6Jlih1RIN;=vfCm8V&Tud>!!YZx zzHk7-ySOJj!F`zNnB+v@lt|sK$^A^{Jm|+!MOiw1P2S$|tdR`IvhXPy&H5wKD#8iw zny_9Ch#}g@hi7X`1K|y#;<3z9?}(|{f*ej>N5BrU!T01QvXRWio~%aUWKTXdlcn5~-8k80d$Qbn@*|tqP43vT^({S2Cd&i4 zlDKe{syx6D*YGC&_yGE%Io*GN5EC9~r^`M0x>}dJG5xs?H-la_6Mb`$oAfwS4(9YN z9?G8<*jG0c_MPF~s^YQ}N^*IF^N)veRpz|(P@ZioVpqezo2kasEK`kNvgFA!>!?SD zn3NWeFgzf6=SOl!TSp_=O}+Lf=JV37M{*6-`-0h5-=XNoa%r+W#shK01*-iRk$mz6 z8jq{$chTe#CeLl=3BRa0KasJ^10vpa*)R(c@9}JykBHYT2b~aN`LQ{25sPiN5y)aQ zkS?_48Ty8!!vjm!G7*DGuZF>9KjMgxPZTaZ@8$<{|hC?fbt3tjZLmm z?^iO;nYv0RU*QoPHobl&*RXX$5WtTUtJ@i>7maHNJ&eadSlKlfedmFz6rC%Vsl;0A zbwD;$*4wszudpeiWIJ+s1?$suV4c@; zr08{%*1eWDvZ^6}%ig{tjlw;w`+kfz5Fg5CJ01>-{}$V8e5&iM+MuJDaFZ7QEq{S$ zD=p`5xuo%sWqm8hl}tB_w+%m^2;|C7S!>>+g~E^f-pU;t<>WKq8D&;0gTXXvGHwvn zBP$NvlbVB+|JX91m8opEe=xb{a!H%`k1SaVKase!G#P$+huAUTl3Bx2T9{zYI}93l zMyru0zeA~fUqfkUi?H)lYHsj+KVNBGY^zzNIrrpdCj4BfZ98hO>7z`H0xaOQ#|zBs zV#j~7D7D4XOLW$vyyU_^CX|7w44S{A5^Uj`9POmE4qV7(d*P!G{Hhp!ecA*T=X-v~ zha9HoPca9YhLcsnmryrpzE$Zf-rl4fzLvg;S)>0<9G*c>iYtqpzs=y9m93Yo1(k6= z?0jbw`Sc7*c2@9V-w5rtvx37(=48+$SH)8-$ zDtQ)h`WBrjuT&Qqx9DAYn0Iy@_4QQz#r<0}-&5Hx%3RjkR#32%XxwG$<%MdKd5a!+ zDXWBjnPz$`*Kx0X<)e65T)eNqmov)c<|XkYzdnbaR#ZyTPZgDxBIpX;sfg^iT%pF5 zaJ_Uzn^Q@_BB3F-NUEYFimA7>Lsb+k-CKE!u2)rti9c>pCqJd3NWVnW_=?)G$4?0o z8!l^()s(t2yQGo7vfCmow<)JC6fb|9Lh9k_a+S8!Q?f+QtF*GdQbG9Lra$>w|2AE( zuUruy-PZOrP}bq&fU82gQg(n+cBSb|r*W&Vt{RQyhs-^bpi z7r{zBk#mQtb%k2#ceM^(m9b9FkGeQH@QaJO$tm-3rIFp0Zen&9su-$#CQ5eKCWb2b zZZNDP1%IZ*i~4Km>Sszh-UKK2Gi7egDmao6`xkx7`xiw+8zU6gBUbY_0&TD#B4WcZ z4&1`@-#(*lJyC_qou`tYD}G}CWoq%cQs1Tb4M!Y*fYT3x&^+}i7q#fm6`UWC!G?d! z_Wg!mNeA!IsxOpMZpUzgH_Xq?eauEHr?th_Nb9`uZqP8~2VAt`T z5TM47X0f~xTUjgl_EuUsb^!gp&9RYdPRD$@aU8<}C)(UwDK7$7(6Qc1pzD+m$zD$6 z)kmpPL^BL_j!klKpw&ejtGf2M!ul5+-!SH^HnWf7XAvvvYuoxN>%I5@hh9?@;{b_WR15#~2YXP^2H|W0p*<5$77)%9 z5Srbmn5n2u*b(%%sY)5X9-6B3l9Y#NFftA{sDQ`*QgIpa6? zwHMQr78V>kMGaz$nllufkK|2}GjOka(JwQU7Ukp08gHk|1;rQp-QCZ@ z{A8b}Qj@mar@R?TuxRB)U1uueMQQ~)I#U@fx>TUnamq&`vJ6d#Q`(5%%TO|3XL^z} zOKB$J%TVAfC7^s}=>o~cOa5E(s#%b{syt=SLK}B2O?~3gljOM5yLcs4T)MBN&Q`Fh zz1S~QcfQgV$uK)FzaZ zL8w$fX#0T9&R4=kw^Gz%fl^IWDMjH6&_Mror^S3d=}yTD(9bV9Lb(gz@Qz2Q%|azm zSlzX_g$hn07`2v;Ekb#AT1(FtDZhxH*3k0B(7xdsP5oZ+!a5?>WMDyt14>hqB??}( z@oUp2cSgHp)id5l8UbUs2Nbac#nt11_UjVGQxSKGj{c~05X*?%S1J8m9drnbDG=7{ zffl<82ULq~5?x!ZG#8P^wG7o;qxgzO_L~U4$+gmwHA;y1WjE=34c$#m*P`oq%b-)gDDB0Pc~oY- zGD!H()26IfItkYR)^$~3U9W6Z23wsv=Q=u2u>*Mf*!K@*kyyTop8uiL6xSz^&laTf zenlg;D6RMf=O28(@Fl(7f|4i|OMY9GmLB6F)?8!Od(Nvo;{Zh-GUq*}sIAH;<)#&o zpUy5I-_(5{BU9IQI1IsYn-X0@eSw}Y?h2M~C9&i6jnnD-Z7_D~boz6f;w8eS(+$3F zJe{QNxGz1Os&0o8S<|TdcBP%y^XEv99Ry>sCm+6Vdz>3fIrmjM?cR>WeWy{z zcBQiTXd1~olpjiDJ>w6ruy2+<)A*^heTUMi#9ZKom8m_ITy`q$t2Q)nUa4p*c^)54 zWWK_)q#@*q2_{F=l$}bcb{jy%7R#A|pqmOEDp2TqXr<4HeU+z|`3e7Cuf@NfF=z~i z;s*=(;&tuHPUVE7=Hy1>s4huZkMK~21b)N3{q_%f%DcZcZ*T{sGI z&zuj2!L^;exPCi0wBEN?r=Rzti$B;@AZ8or*LwO}OQdJwFFNJ!MbFYWfm-f^O6V!S z*r)Uo7gv(D53#_^J@jNBM*6Vv6#S>+>(O+)G4>U~sVn}D&M`khHVW5284WWM=77;G&|choG@SG*k4Ihya`_W2Eo`h^`q=-LewX5t zlvwd74x90zRqPr<2MI6Ugd-$3ke46x#=Wo4M@Dd!P04JE=>z@OSekxV=_-bdpmT>6 zf9IJu9UU?q{?5z8X*||}zf;L0N)M45Lqm=zBTH;YFjBZBbstVyN0iVKUH*kX9!A~L zl#s4j*FVfTei&NP2}E6nkQ-)88Uv79P~Cjo-opACcuM8~;H=E9EtHdnb`ky?6+fzY zRQKoBZw&DEYL5jwmT&S~SK(Gj-Hs|=uK(NUrXE!=Dd-qY@yC>t;-|^f>$q}Coaw`j zaHF$!nUzmT;^9{>+ef>5LczxbZO7B=Q%X>+tVz&%&S)iQ&O`-UYw7Xm&$8C*VKbpX zG;4OJpt5bzX+*jbCt}Ca>vRkz$y^st79wk3pH`+jHi|GC%p_jFt1pTb4%2fj&T}gw zf1avS^k@^p)SdI(yGYKhsq$*3+`j8MhcT?%>Im^y>+==aHzEIzB%o3sJ{YG6g5c?cCM29kz8RE=C z>UvA@sqkng=Wd^Fy^X%lJ3o6r-Qf_;y#@2E!L;X=Qc?5|rt7zq3htI*mWc7EZAGmxbZVZ=*q|Lv&Nw;@r=QeRxzM>`%W^D1=M$HEV1wlgAx$MEDk6qf19ky+F~3vuS!-P&(i3ci{{ z!5w>~L<^q)>hf5rP_}h|*;)>8`C=&_kJTJ@Wp<_5$7uK4yVBmr7?kJiA4 zCOuJ_iVM4G_Y*wFmg-8Eo?tR#S0E|b%GaXYZW@)1LA!P!rDrR{UAJ(_ep;XpK7t6& z!N{?pBaO*Xyh>!pIyp>`oMRTC55{3_)>E;xK1ZonJ(`m>D3lCN?b?yMJ|?s*AWY99 z$EO&zheuHBr>N(N%W3{orAOJ%M&_695R|S_GDZ;x8AaR`b1oF}44qShA85!krH?qc zgVLU%y-eOgu9&+MU3O6G=gN2D;C4!Tu2gK&ZFs)CLG0!KQm=OV|58u${YzPHX?J2P zr*F6?$`cm<8 zivoq)>~k(EqYe)Lm0E-15@=8&>zHW6UupbH)O@Gbbo8Y%&^4N?#+U56n)sE}_A#}5 zrPOr!c!*J*`*NZ%y}>4$@JbotcI0a_lg;)_!t`AmDfgAqQ<>C?AGoJfqx6@G8x6}< zCcFLgyJ6k1WVX(Dnr|IW|Kuu7+{T2PVt5$k49e((Hc-dcN)?yp1|_noiPqjg(XSB* zylSCce65snb@hG%WsMb4+gRDqp4vN`dRB6EVBFXS#K2EIrrWM+A93!n*1Ckc-AR;b zqrEJpzOaaI+G>x>sKXt3R;_n=^*a&xgzlDClSGdvw9ZrQA_hF6zdY5-V$>5VS3y0@ zQ%!d(s3XLrCluc~9t9zCw@Zl}$a$R#9&<- zdrhgXmbGwBzIfNd7PqUR&VpvznHuT}3yY4ZrJi8Xt!k^k2Zm(B$=O^}RzY~u4$h%j zQB-e(@U(#706x890U@V=aOny8*HJ%m^=Cnm1@NIKG_H<{9jj|;E9$6IW$}Gq&Fdqz zpT+IzCkU>ZSYGAvvzL(pNDCXQ`-S*ATkFwG^|Oj^vneV-T`opuYvo(3F-64aY+Bn{ z9WP?CHP0?EuzU>b6P@*vrNp3rM=Y7AN8mnbS+>?aSZ!w!Pe0L?cU2oX@{hBhs5tl% zKc072gPfoYwdkp~6&tcCrl(q)?|1Z6LpdAU=jz~cES=dz9CD~kKlOpPw_`BoG%!#Mp1!X{z~5ak zwXv&yXXm!Z+BolW+8OYYyuwuXe%|l8IJCHhF>H#;_#6j;b#4RGoweB|3;{_`TRZPM zVmTQ!@SStgzE%!$utSsF(FdKAVj4vk1uMQ`EyO-}>g!1196OPFLTxc}rA1+CHGykl znEH`$z#8~4wTr96Qz$zS;RSY0#%Q?usn(;vijBU(cVVC!hpX0qkb2A_wq$Fy2dj1L z=5>5#n5TXHwHj?Hx|%Ic#Q7je;W_kTi0b&n=#}xHgo+@sxGbGV8(iq;NLS3LptQzmHL`B9%AAk5^k1 z532;PEv<`Tx#q#ut?0sdwNYRQK6t8o)tEgEinn&e9sdr;?{@az5%JbQ`~-@?F%;h# z#t-uK{u9M!tjBek-j=#fP%HUl_@a0&jSl65UAs>I$LbtoYzi?jh4X8``7N5D))SN3 zYKJGNH7$N$<7=fhO|tTH9)R2Yocr2;Bj;XeRBn>GyHvF@=zNj884@$2qOYs2WlmCu z8uon}r8W{_m1u61TCEhT(xzD(-yr9hbf}41goVNWbRr6^a&Hq;b{XJ~T#ent zJCv{L(AIg^-97&9!I<4n*frUH#D?y*=VczA`KNRlcsD5C(+7MV)Ok_4g;~Uxz?Ss zsAIdLS)1v(Q-YQT#TSL6IR_jAX5?^XnmR}g$=8kAPgi}4nH;xqHYe*adb-+`bvOhP z>ySBJUF1I^KSjPZc{|yfe_+ky&heEk9)>lKS<;k#EakTtwM{wJBi?$yVO0#Bk=HYa z=LaPTFsVo^#P@fj+OcX`UoIf$*gAOVhLhEd>To>*^33W05UkeB^F5gCLBnFzslngz zE*3}kzRb&9|C-~;7C)A*>ab@8P{Y-Ala2FC^l>|0<^>HziDQ~1XbSQRcIX^${T96W zE}3FwHJg2>b`Wjc=)3RK8eR=pw#2ea^763w7FS9^_8XvvyD9xUwOloa=J4(7DbTN` z^V|mLZ8@8okQHnmrGgJL%q+-lhB|;9Ic|npl^wZehT6a-x;{&T>_qpf4hhj7bZ>^* ztfY5C2-PjE;|^uDGUiXATK$<&EzOOl%v3!~B{%w4iAGIhCvKgo;^R|qii=af@Nh*L z&E$5Fllga^^Dg{Cygogtl{>wSQyW)e9~jQb_W(4-xi77^z}_@;)-3O{S*X*IZZvh4 z>ZVr6FLU~Fmg=J#W!s&dc4U^?jcaAOcr{QhsEzbhyjtJn3TLjL;?=>-Rb;m6iK|w9 zwmQ}#0vc#P%~A0N{N)4MJy)&W*uPdM2YqV!R~S)`IL3uxe-b7H3b)et3XdBk4-#UqBzf$>4 zs&~n0VA-S|I1#N;(QG;moCbd#&r$lo_Ma{)8^NfA{@T`(puO0odNiEAv$H2r( zA9FUTBa4X5%c=h^wU(HIXRcl9Dv{AvtE;KY9YyjZI+=h7cTNAb-A%`;iuX%+3;(Yb?aYvIyPD}6{UXJMehVG|g8 zSiM?Ils&G6o>wngME`WH)+W9N0m!oq85B#Hgq2I#<*3cAk zyrBm8?Ac{jN`DN`L38#QAJ>|dQURh@Ptyk7P(O+m-#ph=_O(qD#UJNbIDX_IU%!>3 z)#`7nr&dU4hbCiu2w(rq3#@m*Ey`D~!Z(Kp(&Qnw-Qway`e>-FX3>ogE%uEJ!`sow zp|+3Mg&T(2DmAhD8Pgy7OQbZnP^@Rjx~bmuqS*H3uWwKon4`kUkAui*n9V4G zYQt=EDj451%(5M~L>)o+92A$?bxwoqF7Z|H?ceiXoMR?p<|64BD7fFltC|=b%-|Ul zL<)wMGjtx%9$r*rPy8K&H$UK;&d}|D@nNdhAt~z72aH1*%VtJC*uyUHQwHCCz-I`w zbO#@sSD4SrV6pdLYffB}LH@|Y&WY(;holk=Mq$9tM?Yh<%)f+oW3c?cgf?fe+P^p( zF<6ViLc04f*oMJE8I@zOIfKQc-e)f{+KJIZnmRDp@n7k)P`*ha0AY^3B%U(V-GmBC z>>7h#{7d2s2KzDSg1n>b9^qG2Nh298Kc zA{L1JI-wh*Uxd;L7TJ$)hJ=#qLR*WPBlu>5oxi`qAL)F^y4J6Xg9VRHnOisrh+?MM zp)_G3l$ggi>qBW3ZajbEn_c$g&v583vhz#W!|2&iy0Xv~AYbL17op^{29KUz(N=^_MIPBfHh~#*id(O z0pyvzv#+~vLM>1x3VP*jq#+c#$-33@@#*9fcy#Q;> zm@(s8rn4z83I`Q2XdIakVh0^`7siY&vZD*Ix4!@z!piI}z;wn8_xp9pSMVHT{g^ML z083`f@ZgpL>^H`u$}!`Wf^uKVpmEHRLvX&p7{&sauWLL2m{-Fu1#bu_$APB}zy>U+y|!#$0!J0d|+MPDaKBSUO{^ z8FT5DpLQQ(#=LgVe5{YYfx+6$xHKQs7c~m)0-q1u;^^T^ zhRlEh?<riSf6zUvb>;-5Y;>YVjv3brhVCA`Dk3h&0np9^^N>tes4Ch5*Ij9sf>BXp&p5}dK{HF>Za>F)e@HHnc|G_& zHQbDqC=U9jrO=1hY8Y=X4p|SBvRiTo@$(_;&ivbRxHZ3_5vY%*)_s>_+<~1Ai7AYY zV@o_1*XJ`>>*<+V%id}I*0WkusbmTqqhkU zwZq*3n@dD87sI`rQxM+AMD_cyv?F*H8KsQ{J`Q>^(q{Grl2GVz4g0Jax{U6T|F~vq zSjp6|>>=wiH@inLhmjW_k~^nkUR+Ra*6IZN6P?Nb{5D$@6hQptNoM3+vG==t7}98Givr?k_ToW|gAP{GNStQ+I$9825&C zJns_meUwSie|V9n-@$?Fb@TM&i}3p+9R7~q$@t9)FbiZP2(Sy<4SsC>j2Whr@MdC{ zk$6FBltLbE&E+j^7lIIua^OPInTy*l-yDNQiA~M#xjr)lm<1DR&x~saYj+NbSnJNn zEWf~6?Y&pmp^ASmVE>zvzNa3 z8lSB*6(k-4Sil!7Aoqg~ZRP*CE6`rWC$qezoT4s}%)WM6E_PYP?Kyq7^aZ)jbM$a4 z!Qa!v9ius27f$E!7qy({Sk|YAUE&m`croQQI`#t7GyBo4?T#Kb^UE;us}IYs2Y(d> z!I7N&1gcGD;Bz|eA(xFRZl{c5$||P3#d}t^H~1qdyqa#uy_Z#xx9Po#XBpBbpHvM0pyW$4$MVQ8iMsGLdCwcl41%oaPuK)Ka#cDA=x54^$ncdNexyW*m(u@BMg!$D85Pu-Y8 zsuTzb&lhqYZ=3%uggq8#?En_zO-e$c8J}$Z_I0C2#f(p-d>IQMBY(z+Gm6UA|19A8 zr?_3+Va#Qp3NqDMTEKKMpQ$}F6`CT-)<+pk@27~&qH!^E9^vdT;wZ{|_ukku_eX;UQa7{9}? zC+X5^)Wc8baK&Yb_PH%HZC5ibvYlP5N|ZSf_YQq=f_>7<l46<*9OJXPn#e*PJW3ecA__n7zKV#ZBWL{eUXXdT)i?rqY-9#nLjZM^gPtFSB1$u|y5%aQ`Wq{Z88y`7sE249Y% zJO)o+Da7dzNkg^+99$T@_z9igZVMOLm#Nhbn`g=S#-u*BxwdaTzk1FK>R&~aqSzfa z57*k<>%f{)Y-N1I_BQ%shi%BGL5N;>2+D#5#sv6H-TScx4`_V@y385jpIEsse@2rv zx=2<-4w4f2^GahI0VE2FAL^}d%TJiCKX`-<-{9AI@icO$t#O0OTnxyvm{B&b^jP*| ziw!8D4snN^V_&{Qec^VJ*x9Z`gV}bCpYF7Iv_3G;Ouh*@m@i7Z+WGW&AjX^uPo=W@ z7Z@=%Hym!~NsYJ5>c7k8;rWSOR#TQ`%w5^NrH{+!+q}!>?qRd@J%hZU_()!T%bO=` zKSNhp%+eVQJ0^18urx2kKl6K7!A4J>L9Uw3LkyTfHF5pA{r|G^Xw0lN|CclyQuMpA zFN{c3zltC4bLWcn`ZT{ry{X@VEA@RK>l**thJW-f!1B&!f?5Y!e55bFLk(U| z-?X*3(WF3&m!l6TKL%Q=I=+IKBY~E>j*F(hptpgR){ap?0@^^ZFOZ>aEDgQNL!ZpE z|KyK_v1brJTt&sI}JO{eUAwtAw|bgJ~HEvM4>V{k)!pbK2Vtw{HXW=A>s;^}qtC9VfbG&M@J z)hbsFjGg0$GNXP15oVXz$D=cN6O-Zyh^t^oZJ;UUDhM;(S!lI9eqVz;tGta4o zSSlB0@zYC1kzbN6(9@?7%fTu3Y*>o7zH182OtLkscq;9EMx#uYNNeY~tgIW%S4QtQ zg>Hhc__#uR<)=`^WLvF@Eec_`CL7`-eG1aL=qD!AH_5iLV(Vm@lWc3~eDuisyhcoZ zK~t~bv*u2d>3Op4l9)P)PVBcGa@^=pg_az!HFAu=k5dP1<3#1j)FH*zQ2dxj(J8jN zB0i6{r`Up3r2@9(VW$XN8S0U0J10uMqZ$WoTSeGEbmO2cNvwKHs}5nw z|2k|ocL?uB{oYck!?v!XYA$_s*jCAL+ndVd|8-GM+H}|!ViV&~ZYY`wlga4_xQD-@ zYDa9f9b3GrOl#&Btw7_B*u2Dxm$Z!0gD>r<&gkTqq^1Gwl8^RGvsDk=gh`UffS~yH zVa6FV=uwdY79a)U-hCy{pu{^&AE$`O&^;OGArhjw-}w%|l9uD2zBdvLY4ZW6(sV7& z*0E|SBrqT8r%yzh0ef6NLz-_3(ro86bt9?EQQJf@D3^{NwKWh+{#tU(R@>{zE6%JZ z_5`px<|0yff~IZC0@C^~>H;RQ{}oL>hH0kE=h&PF6&wyYeGGG49pIo(lF1lTz%~x$L z#IqE7bk)}IBM0ozYp#N=jZ#SJkAHgm0Wa`%9TQ%1p%{b+Ce#LjJA#)f)bpCHi8vNc zi>}!k`1knoMP7$FPLG1(0+r0w=%LKiZ$3;tufLi4RSLbjX7evncVA@(%v8m-@Y_fA zuG=ctHnMa?z+q--=;De*yv!zu9|#bS@Ph%X5SL1^*KLEN_HTTZml^XmKg%lxS+-+^ zM}_5E!jF}O#C}mgc=#cqbphelhXg+mIL<-dU63a?F!!%s_}NV@UZ8ap=lixFYrVsF zH(=KGw^Ow6hOMcHPN$PMY>iqOmbw?DF)ST~G^XFm77z@tcd`pRVi#8KGzH$YwH0?y z)2y4emZmW8f;4kjfz^HUh4D`Irr|4?FareE?2=vB*fS(&*t&?uXX(=nTRT%&^@23N za+>3P@`cqYAS5thI|wW+*Dma*vvf7X)>Fitr~jkuz2mw#p8s)P2MBWe5-cECK|#Sn zQBmwzP_TEgW3Zv9*t?)$K}4~RT`Y;3SYvr9F<|c+OH8b>$3tupOe|5p&$+wT3ow4( zzsK+O$7}CqW@l$-XJ`9f-~)J`v9{C`p~f`O689{}&FXDt+Q5QP^>7skX3D*M*4?q| zbohZoWhv8!o;+}9C%N6EJ&zn(N*!!y`a=glKUJ4a3i9}=mzjBsf{2a1=*~m9h+@By zU?!8Ssp}($p3+5)sr9eY2m1KP!B^wjx?tqSn0L`is6#!< zxEy-y&_XiaA;S{@k_{iI=@W;lv8u3LEwR<|{TOa$+p{3zArNf)3c&d_a_i<>bnzW$ zsb?d;g8y(s!{fthh?hTpF-@gCZ)pU)PlgQ797+_Pd{~7f_>xDKLuqTp>lxMk6OPaR z8Fl*;uG3}%egCIJ8{==exc^$~#-Gn6>!%K$p0iT5+R)Zwa$_vnc*-SRtNYvK&m5c` zw*RE1an(TOpE;DWR(@Bg%`+sDhNH4i9hOuYw;r5$?5+$`pS16lDSh>qI8;cb<9h0& zIBfLYvCd4pNqy33m+LPoZ6d#*o_6d_)iWKuq_i~ZlIc*>aGiqwbSNVo{FA=RbZF&p zcr6-s^j`L@r4N}9XF?j4eCALScPMD$y?sJa&m3B{dcTJ0o+^JK%-n~e9bUNm_&|l{ zDmM{wavNYKfM;caDdUgo@eb+u89nI}XM5~HDwX9>RVw*J)AfhPG$6}iqvLn0Icu23 zgBq2UtI7GfLycks0nA(j*P32G4wG@%^gxc&ZJc9AbjDzcJv5I6`twUYsEJ=Z`NhPh zgBR+6m#x#aop1Gk;RI4t^d51wR<1;9OUSY;g%l0D=EqLm+RF2g@eEJ^L6g>w5T`^ zvZ;sEwA=qM8OFrmdts%f(52n!*$eC}tKXg5w#uefFC8vBNN+-^%zG>%WuK+`?;U!( zjSFR&$9g)dlkmt7j%z!5D}6(0_j~xFx}kLaJ!-uBSt|DdjsJ-rRPv*PkGRl6o6*FK zNfT=G5#{o23yS*a;4MvQK}$Y5jFZmxAcub)s`mXoL{o}(dhDgL1$xjX{I5;X^uHez znStmXC9P3Eo~i9EsPL0~c1792~Jf$pXL>&xr&3cnS z#EJdYOiS#Do>+e!ep83P*5P3~96IgjD7)YiD%nS5A@iE5TQnwnNvNSK^xG!2Yb91He0+6LxixOheMp+3&WmG8S(hlQp2j*7c4w}+Txi#Hc|92Wyg2=Nla=N)T z(x~+YU&oVuDY>v5=u!TEM;um%9wB0#CxM7K4wJ_$#BN=P%K)-)p|AsnAJO3lb+~_h zF6!=XmDDu;Q_3l|Dcu33>=;O|9OMYeANRt_aw+LSAPtx0fI59^Aw+kPNuGv7YfMc7vaBBb}zJ(4Cu?;7+5lyx5ZgK{*tqDYEYi8zlJ z0pz@gzTyeI^7)-YO}Qe`Y~7a z+j9LEv)etb+~g8p$&^UNybzV6(%n;{VO4_$<-7KzYgppjbe`H!XJ)&GCSLEX-lByj z-JQ>GRaXkLj+&wYYeGmMDHua}*HG-+NXS&3^*!ai%A5BH@C@*3CP%C8tG1VbpjG$v zRuTeeo|D|lBS_Ua1b&K7<+6-ON1OKKxl|>p2EBEHIV=8Dsi<5_8eM~W7nQx-vV1WS zw^yZRWk%zbmHD_Pttu*)<`&;nRQ4%fO;sjDd8!zcFB^MDf66T?59#R-?X^j)hMf{P zf>YqC7-gP0E4bTaA@<30V~mNDbl-2Zc6g|Y$E5gEr7?DW6zoj2^`oQ3Y5E(B(a)1k;rgvWpZ}olcgJ zD@b0|DU(A(buv05yjzU|oaHdL#nm*1{qiyF=dDbsMoXOKF;bywWbY!oOOLBk6&FN1 zQkB{vv{_U2ZSIn?7d&Z&i@eMFWR7qSvz zbXq`8eUemcl)OT05dH$Xd+(O247dE1!d7* z;98^a%gW_SCREgWx)?NKxP;2v$XoIAqRuQaqiQ-)K8T6p67w=mrBfv<%< zRrWA=*6p#jVTpILcH#0TK;fVApm($8b2M+vPa;RBmAEo*sr?+uUFuVY9(c$e*7X2b z20-1CdL(0LBADZLkj$f)#|%sA2bQ?us&c^{+)_GGnub=CuS<8=Q4>$OjkL>+7JACQ(t3Bw@RVIEoh*y#xp+us$Xo(; z+LtYlV~gNeTP4g*)87nt`s68>kn(fuw6QcxziRB+OzKY3 znx#EZk~UUt=}eV<;0%(9I{L_yq+&!DeB@D5ZZT?HMfQ<$O40MGas`@SMRt%1xzdU% z@(8J$3M}h{v%#OSp%k>y=}c9*h|4KXJib||A@eYlm*Ecw ztBh6&s!0hhRH_>E5bQ>cs>yEBtfkbWnmpY(WVx4>WBg`z_wc9aK|fWBh0*hB@_nhp zGP+b<4)gGGLc2PUXVR0nZA{e5qX@uUky@0bAYb{ab*Uv@6tGZK#8m_EX*U;>yT9y7 zqx|GPQoF@;*-vgKeO|=C?$X*tG|69XB=uQDhd6XzMDIDgm_l^}5GJS4Bo0GT=n#jt zDfFJhpBGZy8VIK?q)8kGE~G;>!1u`lDqIuqYZp)$hkX`MB8Sck=q!iVlc{hmIoNth zve%L}o2yEFl4(*cz{@7nAr7z4r}wqMXV!cQ3&eZF`IN}vrzASd;r=8lTpQu=Bnsou zD~S?0d@_&Da=3OL6|RG@&pZm_(0Lvua(F$F&T^QXNQHwCwoRlk4s8=Dk;9X7=`4rS z=2GFh2pi6&u)6Y4>FgZZUl-M5${bCJmFvm=QrS7wqaKLv&c=s)5iXleH#zJ&o80On zbe>H;IJ`KER&tm;i*9n*b{4rckOxYCBv4!fWO6|QU2Y&pORfpjsG;0Yx*1Q?8pH^eq(v0iFF_ zniPz5*2d8x4!g$Ddk&rBsBRO47h`D>hsm*Yh{LwA^qxc8SgP9;;mPSViNjgb=}=R- ztF>>O*ODs-Jc`9)S_UrPTUj)iyI;;9VySsE=;2H(jpuNAEN$hm_cXfI4DytnMsCgJ zuF|Qg6w_S3Wc_)X*Al}ak7CozeEJVm`J9_ZV?z*c#Webk!$H&N28W(g$e{)JJef>k zEx>2NWJ+xTK9{C=E!nWoy?D|TGoye=mC>pxw7DfB4#B5lIjlW}>_ZVgpGfsW!Dz=s zniL8~-6qoIP}w9cn?Q+SD1eX&bd$rk0&c zHO`dST28l>0%ItwBTDG{NZQ8XxRF%26GG>a6xB(dCAo~C*PX!N)Nl&!jA}M;IIZjq z242JIW@kA}dKgWfUEmwfjG*yd;BTglpbcH*l2V&!O6wwblKe+dk*>0*^lUiQ>I!e2 zIEuYSAUXixcteX z-jf{FGmL&tqK6zJ-HtMK?jdis4htEC)wvK{c6z6omsMIIoQ`_#JHs6vM@4yxSV@6L zf#N14^CetTQs9qx&ER!*<;KGt-H-Y{px{2>YZ^#%`ar?q1L%)FXbD^fkb7UbOPdI= z!=1QEfxk3GkwxB4ydE0)LOBNhj_E%|awjz@a34_0E*13i5Dm0}snLd_pC663F8+jT z=7Ohmq_6y|q&%SI{p6Yzn%&RI%_^6IiLB#ac;vPx;<;v2d zKd5SdxuRVx$dql5sB3@O(=LHursJmm{^-n%{)5&4D9!I}I@VtvZIJ9EsCFcZX73&9 z6)BgMmfoSsk+QGv(t;^D-^$6&td7==rAu2;5c%#VE*z07+pXc0KHMjp0T`#?I{3f= za(TNg4BT{|dJT|E4WZj$Gm_`q5;N1V-C8f+RHhjQ)u|0gz*YXPeKnpqOMIEZrb)ej%+Z9f|w<>XF8Xx7$aO3&Rf$% z&-}RLn>1q(igDCUT8q%FD`+#TtEp$EVMRnwV`p!=JV>5medSgT6pwd!FoJz#M3%Sm#*dTa)t6I z!muP8Pj!4=c&ZZE*{=6GE%yk|nm$NzF9j|`;x?q?bFIDYM#iQlP(ynf_ zFdANcS~ofxEyqZ5H>x>Y_P4&-Ro}@FKO7y{DP3vraJiXOsVlu34%hjq3pE*mB8uzc zMecL$o$1#Ray9D)c#A!5<4mq2L36P)^&g3p!aLKSBjtDQeLJz-M=?Eui%SCV!q(}S z@R{dJk{Y^qqR%n1t5m2HxsH;TN+}&_=O{SwkdAb7l)O&b-@!C#wCrk-R=1}mW8_{^ zyY}>Y3_20_+fk#j@;>W&=W?`O?&TbW?f3b z{LCl)qVpk1wl2NNX`;MKns$jNp9qm3L8t~*_m`(JY|%_pW=NIoX}^5 zNwqDateA{uw#Bhg5{G1yPd`p+M*y zhudo$15cT0`QjCgiAq~0!}Lw8+}R*e6Eelg?_K6M@v>@?Scof}W5PkTXsd@e!QJF& zr-PbMp_yo>KQ%UWoGHJO-0w65@##XW=&-~PSOM=A=JQh4aCgcd2PtBfd{?^HfEv%n z^ue2Sik>Z>l`5y3>dcXG_05rb>LDUt3bzV)kyVG+Wzh6(t4HJJ%1xzN_2|%Cd4Ny( z{j6(@XHnJ_*qtI7f2A;>nZ@|$aFX^h zyPwIfnT93!uP71@8UJzkG?3mCDbn2;@ zc-#IKcprO;SRNK)X~I`n;#?BPD@M~KJD(FUa7=&w%N zYv&&+D+x_(tvci|U*0Ost8LmhAH6ZDUM;d&h(>wyJF2o!?oc*{ZIic}h9+}ABe^%U zJzeExJ6I4clQd)Wk6K0$SL3+v?5f-9ovybfX4!OF@5rat%6> zf{Ad~8dPZ!dTYgY;EcW;AjJmIu0^tQ`};^XO;}2yF`M${?MNptk#Rq08B{l_tAp-l zOn^b&4{y1IUMZUpvUeV~mx?cz4_bHJg{Eh&G~C+b#~gY&SL!J3_#R)>l3SDw+4+CA zLREHB&Jy{PG;B9zFO`3g)@`NKWpZZeYlsp$>jfqS)ej1wFzaioUA2WaFP9@F=O3sr z$w75*f6rn!*a5q?3bn4E*&FQww|DB;m>Zci5M=tQ(B{06;B)BFhmx`xmno85L%O`3 zc9J|nI(vdDuaLcje*}7K5#K-`wYQnVSID)jC8ZKASS?qRW^SaztL5^J5oQveN!k1@ zJzI@2?%+yfw?=N@n35ma;9Kgn2DLEE%T#u)+``~^BtN#yCK|mCU1zFDr`O5-f?U>X z`fc|ewDmZBF6x#xzr8kdU~)Cvl}^w=--WU#n^q!C$go0 z$>R30LwLhIRDQ~D>yQCVclenZ2G5NKI0Il6qJPL%y{+kc0OO{(Ta6o_Z8hGYiuOub z%skMKSC7nX2T+M)NYWI|LvLl%q;;EMCY{&OPn%?~Skhv?aV#o4@yDNUb3+t$FoCJH zS4wLXM&6tv*MHm=_4itpL}1qb)gVXjqly<(u}e?a7xS6wGN>}^LbJ-&gE{7XhM2Ou z5t{fhG%?!qew#$lCCG88sYR2yY$co4DV`R3V@{g~f*5As3 zeZQj8Nr;xG(jTDUFI0M5>86peO7E#EoyAmNs?>iC{qe0_GoKB;%CjL~I^2-@v8jHmY1emhWqAuO|8Ek1!k|oBLl3?~w@_M5VvAh+Yer`RpF9m^vhL9; zXnXo~qg$$xwVw*@CH} zOZ!X}w#tPK1szuxrq>;V!_)Gs2M^xl{IiR4Y z3pPi93)-orQoz%>6OH&@-dNS*mz2@V)g(~8#@;~^76kIf#nE{=)&Bwg>%n^{@dvqC zRSP%ez%o|A$*`nGpyL*-1fu7mX_@JtALPacsnQBl&<@!XpUc}xeRs;;#6**16%ue2 zg<~8?M|R51t#{+z^8QcdQsl9Vr?wW*#9i1MaC{l9-X%x14qAYOaC^MgN><@F==~cc zKPW}L-2ACx`xqzctgy{S`58^Qa*+S_;x9$n!H-+<7kb({KINdkqpPyH1uiVA%9cG@IDhrQGby+`&Z`C+P#qw|$$ZI8lWsg6>D>=Q6D<`*7)qQe5>1qni-zQJ3<%B*^^TaX1p^1I09B1-X-Mug@ z)4#cleJop8u9IA*MH2b(mC_=Gg7(X?(!j%XXg>y>9r}~Y0lAe_M$k70r1WO49i@BKRvPA zv$VvDC!2;HlyPhNpiMOIklaah*lwvWZAm#Kcjo%Cr0Bph)H6*UAf?;Uku*8X9-kV8 z5N+pC(Vyg0>4!wx`;**EfD{L>d1a}=Op*@E@fEggV|Hh``fXMp{|*Vb9e}?hsFbKB zyZh6Y!}3I)ruX<6^RAz4XvWVNey*8A$9_gnJJE*T{)~JCG&Ge>m;DUV#U&adl20W|CejZlF}Ua&ONCF#iP34b zxc@)IJ8O~B5pGdw!@f~9h0w$-W&Q-b;Y$T@|801c@E4_3DJ`czBIkorl(HPhmH&$4 zFGVq`(U0?B$|;Lgn*z(@tnO6erqSn9a; z61kmAsgJlakhhyP#~K0F9NVDYOqfPbPs^R0I4VdReDJgyTdPgtdpa72m8juoNHr+sH++%{f=Zl94aJ6aqTPd)!Sfzr;(W%InD^1}prbXL9`WbuYfc40hn zje5nOZE;207?#u+_T-o#@SML%QZ|jJtaI`pt{k1uV}y40Z`yxeuAP6}@Q<=|9DO*C zL{rQzSQ$3XRPll=8zkR}Fs7i?$PcJXY(dIf>I@=Wa3z}kS=6utL%W`u=vk0>jQrt%%W9VhD zpHXW51`qUD_dtb!;n5V}r2*&g(P*>tnS=`huE^8MoEyf`vCR#_Xcg=rLtyu>N7I6< zSa2|nrY%?Hy3(3g^zbT%%2!9x{A==rYG(fvruv^@@IP97kD(gJBNmR1L&@(~d6rMT zxf2b*D6vH*)7LdAa1qnpk!k0I3O_dGgphFu#>Kjed5eFoU9!Sp^~+*pRy z8%)h_&LJP17T#C@aca`t`Yi7HAdTqPk~tbGv+4WYJjs0 zn9qP*4X~R5QyAdD9wE_|7%&t7JjXw!+qdK%Tq$ecMvL|DpEUC}TC6IdF(}KT=x=n@xj^j^PKp~EXqG=RK!4J{)-TKBtzWXuACxQGC{&ez6y)^Q^ z-;nzwkbmfJAy0XtlUwJJx9F*nPw&6{F{n%BQ9C`9T}pXR1ow*g)431op^>kPp!=XV z)T2!gu=)bgRq{}@t4cF&Ts22EJ6t1A??*?T$W^4#{Y=lF$oN`28hH1o^6f7Dp|H$} zaAP3>e`h+H-Pzr-77p&+G!Ee)G#^h_egnXt64Wusy=Rzm>)6v|%#s7t zOa(uev$_Nz*XkARoT*$mP1Q&5fPdAKdfh=w${U21q>_PG=JH=W{!)}_{8*^FIjQyv zX3T%=Zrc7r&(-~x$km3fU&~e5;g+N#+G$Cx0HGzRPtjogj{mapm!iDj$MIbXBvqlS zsljVKshGczR8i>XzY0v|mQE||%dNGf>_KQrYB=!95dMqAUy9O)AOGxVPHO!dxo?qQ za9y;zD=h1t@+8V6XUq7i`R(@FTx*d~^8Z`DEfsA|7Z=MT4Q;9660A?OphZita@gws zoU?rvMz!9_Z=AV_PMi=Nn%FWF;|Tj+eU2+vB5|w*)2eGDY2UL@Hr|4duo%l@&oLUVGHZ2Nw8HPk&m^_I15IG)n@PNwwGLHbzjv~6Pm0kTT8 z4aAdTg*cc4)CO|Jo1uX$3?y3J^qz>rU64Ooa)KB|-cxD<&x5T3M{C9?hgFK>v}}c4 z2^#i6c5?|;wR>9eS0(!XldB=AdY3b_>VsUVSsPF%*^UQHlQITRnv?_br0fo$CS_YZ zDXxfvH8J%DiE2%AXweEgS1SEcb}O?2N@XeIRIVTXbv0mLYqfyeY{hisz3kd-a|=%I z5-?hNXYiC(1kBUN=cTs`KrOv*@ub8cPQc$mAtfqJTqwHKRM^*o6-)GK90Q~LX(Tuz$UlwAIm%ejU(1<>Fj50 zc&mBcl>J#QYLMK2T~w*v=%TjWOAn;^guv#!-^ZJI7Bc#j-!E<@T(hxq};5 zhnY@sRhPw-YH+1WW%j3t6o)k>g@m0LAq5YnsdmCcy4TRO-cI}^xoj~PqB1cMMxxGK zq}MRTIEbrKl}`ITF_UeVxgHnEpoC!7%HWD@-uIO9LCkx*=WZ0clG>i4jbfy9bf4+2 zQ5f+l+P(C=h)5TUec?yG;|ZQ_ZRvocs8XUzTR;W^!dLBCL9q0Lk(A>oylPtSWsN3y zvLz`8{4~*>@WT5Q4`gcjT~Ld2Y5V(+o>u8YBB_m&s2V#^r}fuq2kNv9L7TqLlD|eE zOV{RPPb&dwMs`NhSI!Ul`e%0xz)52k%Yb{O~wz|0(;UO^`8)Li&S z(kCb3<@jNbmWvy#mmR(oP*k`SnFV@CeF-?0x@%EUwPtVTg~CzSvXR5yik8D#oM4K@ zRsvarfoLq19<5D#i;CU`sjNapi;F|j)t#mb#l;n=oE543Q)|OvoNQFiSH@r{ z8MmwyrX#Mx#dO6*kaf_z0cIN<>cz?992|DSaF!+~a}l3bnpQ!2opDMc{fLFOkr$y@Dz*Su3|Cmd*PhT%%s_KK{wfbL zfNy%5-j)|G2D_pgH73P8L{({hIcn@7TpCPZsc@Fh9lcZDd>oS=WZ^KZ9OLq8BXesB zdTk5A-7>VuLzIwA6={oy@ON%spVL!EWwJmQwf441y07RwxKMw6v|=L1L#k& zNZK1PF0&ZU1*D9gnQ!#lxD>7T63)F2TFA@kd zq#_(yXgFq=gC=M@6=KO%WBoN)!}{y5dagY96+XC!3&OnVsg3Z@SzGyU|DhvXDAT~6 zT|yVD3`Z`NDu}0Hrs^20jE`)LMJnP;0B+E6B+20<*TRIAv*3=Q*tzCBm zWEmFn*bVQQwXAbUt}l*CaqUf)>WdBrsmN@TdqZ*FTIx8HUIdGUK2Af;l6L!?&DEQI z&rcCrC8=p`b_-|!rEi;vCQ^$Tq%;xtJZFXeotyOx3gDv%uX#}u73U*=s4?R>4uU4W zRXXQVR#Q>V%i6#h#V0qBP}coCmCbk_3hxk>_`b=(@S)_}Ow_dQWyqlc%|wV>&D?B` zqwO<_e;yPcs~+N*8cWBTiMk~`V!6%v7@Aa1`A)4g&VI4v*j&_?-b|-9&Bfo=&)#P* zS+=;A^`qQuY^!Q%y;2P;ED2gt#QM8`vuTozO;m+jeH_x`HepK%XN!TnXQKW393 zKIU$1_>fK0ZEea}H`R!ST3`Q^O%8=@yrdT&XirN~(RwZb-3!@NvF?Qj=Hsq!vKsRN z$^LhfRhduJG*tW{{X2;&go$%f@FaQ}CYIyY8Pn`mBGn+dOd#(z!lURH+gZo{MLpXf z>l3nx+6Z5%Wj38=vXRiYc|R<+uU>ym@7uupIE|-rZAEFRSq{~2EBx$+fh;rm9~#wG zcr_XS2BN8(G6R3;%r!bliA0l@Z=YtuI5;-mr`br`VL1lTdB-OjEi0w+KlFQB;VwD9 zq4#Y?Eh%>_`Lz??j`_FdDnnk;pmw53k!52sAzYlh!b!GKV@>5S^sV z(bSd0Wus|Z2N5c@9!=RD;F;vnRI{UKE}a}jlRAn(X~8Jk-BC>7&$at>0{(goHeUn2 zE{0M&p&Q#Lh8}bhEhOg{^6AWYY|ie?_>q*_Su~S+jHD->MNjF|2x`(rG?fmGpjll+ zAF1aEdfY{flO7GHUR}i;spoKd+*Ndx?1odFZX%-atZ3Gb?Yd}6?Iu=9QZzN_F4Co@ z!|31cVsNQLL($$Z_ysyiyq49}v3wk!*gtLH+SyD%iPP6uI{lze^!Q=zUKyQ93`E#`F?_HJ1+NSVQdNr=c9?<(;C0Ks|uU z9H5WN1CH>F+ zz7NC+8A$K@h`!R30o0=}Oeb{!P46r4InM!V=9dkiZGDBG)O-N*@ubgvMG?t=02S#c zW=h*5nQ1jj?+0K^Bwgx<((sEU#|Vru-hD&0BSd}dMs)2XJn+Fq5ohqBcl;dK9~^J= zr$PNim^7_F?ddNzNlFBD`v&jH5wzhOv0nPOALD&!SR{;XPd`eI6xF4f{pfI{Xec%7 zXZjc^`Wd8RH>vkPF;p7Vht3QXRZIHxf!IEmLyx|*j(=w}VMyYUT+frKLro5Ygo`Bo z+mk8`5tpS_Jt=31a4&7&)04N@#_(c1Yiy$OT@$qo9ea{rlptw*4>}Wt5k{dN6f{%} zwO$qONmJ+8d(*|CqIC5x;ht96j?;||5VD((pNz7qaOgg&OImO4J50b+#w`3PQP;?M zm^flrXc%5<{6=?%iMrkfyr5}FmtM2(Y-(HeWU@uQ_tbB^TyXr>xYY_Lh2Ysfs;|De}&Sr$>Lk7MyRR5 z6m%o4ZynP-=Cw1L$4pd1&0{V0L}&geW#2hsq165Wy_zG!T<$}RvOOrz#vY~xpt{1Qc%+$FH(foy!FoMmZhk)id$h zI%!+9mM3}m%N1$QLipSzH7Oe`q}Da5Vv3j|{aJ%jQ{eLF)u6{IVvJP12K88kBwqy3 z%0=)arvm84A`vYO37{5>MN8=ye_FU0PAI`2UojH#UsbaF1C3cCBJ4hJE^FN=&JIQOQcqL8TMlQ=ljN5NpAh+6~4xRBl$lMpQiPo!b&Q3bF3|04+?c}%| zYL7^vW6OoV^q~ReEEgjk7d3#p?gRU3oA^XIyoE-S@U=d?L#;V(beKd{>v85c>lLDS z;o09qj(FQY>r?p^VrSsO?b*C}6gw2#B{g^(cLZ0oPK89t;rQk(KJ0o=@%=U%izC?R zoOnw;34U66zMZ6%qEY$h+p_s9!m~!B^MwUtzAqaWjc(S8u>5xFw^FQ>9&e=&E5!n7 z^H!R-O4N7#JIE6|eG_u~i8q@ZED=q+SHsw| zw$ioL!qjTxcP#L@eeo|0jXTAKwj68R`_mg$>;648|9N8-~__um$89 zI~WaVl{)n68t@&wmHt^Hd<##2iXg%7waIs__$IbYs;ZZSTA1$1h`Stv>+2iV1|$4J zPuteZdu*BaSPhR`K?(vN{ZYx;n5{27rUH}Uzpjmyk^5HitK%iPm~yzy6LsuS36b%x0>;Ues`Uh0Ljq&@ncSMH^|_dQq)VMWmB;ncB~m z_P4&V78L(I0DY9f|2Y8tLmu?Y1JEgJv$X@z!`IT4RN-OW3IO#0bY(r#*AGA!*0KLN z0R4K6soe%q!XTXtz>Zcp%&zO{&_;ALJlE5+jiP)@FTP6V^E%c5b`rf(maIkr!1nNQ zF<1vz-UmRcTRQV!ThTRR1keF5_^t|jC4Cij*d$!*&SHb&<^a8JZ!j`17vQ6_u>)`i z7o!wMAaI8U^fK;g#(cz3hOeRxn?#Aii}2E`PiBWzl)gz+EV`9(y}5Bv<4s*fZ#Id> zMQ<=zSisd*QPa(4@Zp1I>N_iG@n%u2=v-Xjq{Ldl>sQh*n}uId`{7{H*aGgi(&YH9 zcrHmzmeZ##;(;`M4c*_0i5}~fRC=4}FIlao>D$m*QdZOQZE*OtR#TPl#bSrJC2Sh6 zl!L42)b}D%s=3Nk^#{@4AYEQT3$|l!c=jSXxLr`G7b#45Pw`rjovXN$8Vl}2xt>B% zJK%%em(U+OM0u(GUCP}d%1M5Asr*iHvUT`E*4BTH);D4Be=MtN9`sAga$2Zc){6z2 zWu04~Th^8Z8mq5cR-%smk7dOypi8@uLEIMhZkMQ0q+Di2EB@$0bH{eJE}0axTl_6m zYDxZk#2C-Ii&TG~fZ}K0@&lq?UVyr;Ep>kC<%h;@LpmI-1^^X)Y$yjJ?8H8nmBQ>=nJG zAV>Opuh=ggO`;w9(C1#9M0fTHAOFD+Kszu97tH-B<;gtg6EE({ZT-nfxx!B<{jd}Y z+%G!CUPKbudfnSI2>&ItUD)n;#I7Z!hg#yT?X?e{u<2*~$`YwXo&>lH=SGF!Ww?(@ zKgAw1U5KvDbf@J2qtfuv9xLVg9HgJ5(x8z^ixnfxXtipYfJVd;_`J^)`!vr2NNh|# zrYzS8+Mql%F1DMGV*_zPHiI0yA625&lprOamDQwd0DejW+Yw98`_!vwh?b0q))81D z3RYLtdTGe^KxVdIK)VhI@BgWp5hn!x~andx4vf0{-6394D|oh zR|}0GpT4>r`?|i$s44wdU$xBAf2prBI^wJP%AHTSraXP&nmEm0Ty#~RrlaB9HcXbO#WPkG%`)t+o>fOHlOw% z#LA6s@%U&tWI+q=m@p5fr`Y})6?KZTMmh`vG#dM zs5$zY7?0!sD@L9ar+`JOT9xDEhntgUdGbV1)~aj@8b~=-#eViF<{G8d8MQ*;5{Uk3 z!X;K!e;kOEDQ3zq%01Ey|3b;FRrvo_@;@{GSMF}k%(hdNJR^-hrHLx$;_spsKQ>B2 zv$#MfBT>)77lLgu!@m%0A>hyzxLBN-lUn+39D6v|NY`yrb$KA;(=EM^DODh8EM8Gi zX6F?I(yuu$tNfYr{=yL|4`<}dviBG9l@ltqU^#Iy-Ifn1q$xdl0$N75>$H4`X+rHjQ^<3uOF%<@-mFel6eUbRYiJeD9b|9ndnRy0Cv$V=jnyYtimM4j=szB;-M3gPj z?MqRNIs0hd5mDP*UA5*7ZIV(|Zy^d4@1IjyKooCizLLCR2Ieo`!zvI}{EOoKRckho z0wyrv-fScH8K6-+sMK9?jinYxMdhM(0Mko+v73l64o;T(HV6atpvtQa(#1tBFOt@(shnShP z;F#zW`-RCU29Au>duU~WqhaxiK}{Z>GTb=yM}w8)+@;)jhdNfd%nic?fK;uspkIg> zKUvQs_AqF;4DP8YtIgiLWuC8j#C0NGZv?)Sy}r)q>vf{-4BB&C)T`q^3ZoI;Hm22= z*Ua&g>P@HEE04KK<8%jTdL7pvcmUpe230sA`nSAW6Jw&tv!Tg{)r)gaH5X1+>Vb?V z2S0$(AuIGQ(sRLFU0B}*>O>n)I28jYphr?pOrvurMCI5ls4pe|++k9C)N|hY`ZXEZ zNwNx+6I}H*88M#em1#36-}`AL5J{;{KHohFt~$@q#D7rrZMZ3G<4G|mg`I;nyd39n z+9aBBQn1m{G%~$VRn1PmhZ;QeTm_Tb!2{->ZP--7#Z^N|+L;aCFfLzG> z=B-=DG^C$e#cU7$PJf;fUa?0xbtrV33J$T)jNvs*#2ca}R$!g|9OfYV|6UQA;V+|} z=8wWBO>mFDWKgESQQ#9*r$emR7MY(L_$oQ$P`JGAjU{%#3oNq#6f$Dxtzk*-b+R73+y~`wEj8d(O`)1r}z(4wC_($g|b0AAqb$o#;7Mc`mpB9JI z^HR=y7n9fcphbJgG+^O;c{@6&TR{s6E&y={cK>U-fvhizqD>Fxi=;BOA8%&Ly3Abh zC4OQ-{KOdQc2RWed}9j+Jnt+xwKpUXmv_2(!e?Gc9!@4fsVgE8;Z*LV*G$$*}#u zi0+<8hO?l(8y?xI$EIxsVk=DPe_1T6nXwrPb)94`3{|1o(b>6i^{vKYYZIJB-ndyc z^g?6l-DMF`z_5oz)1WJ&wfi+(;{GKwJ1|pE2Pwu;bmfXD73=>MeS8|N>*FyjG{3X< zM0CshX{GC!FPwF{>W{IJg*~=BI3UTv!zzfi&Wd{#CLCkx{O?S5%>qY0TiAsL%(_ol9sz~1r&1GD` zpE7YMRsmH+v;}dU5&ecz`Cmm7zxz`WslNrMMzZHfD&iXp;t@xB6-5hw6%VDXku>Hy z_VX4QLjJ!ATs|~{9{h%h*d@bE^6#R$LCP6K^=^ns(za;Ye?zphUKy25^ZzzfGu5~$ zb{eEM1L)x`@r_IPDsC0KcR-{5y2P&KX4!#pfGara=8d`yX!Mm3j@JVOYmeggw;NIX3vzi#0=d|NvgA7R%?8i<> zZo$@XoJt-K#dw1)t$2w2CG!_ku}5N@!I|bh5^Ks1S;QJI1iF^qp^1MX><71?mVj4p zYVug5NI#{}wZ~YhT9HD3KNgJ*Evfbs>{)oXkd{9YwTc~E$fD&bdgDUVohMlTFSctz zLBzrZ^y*LXxCN^(e?!ghnO(YRwu}X1-nZt&)t%Lx6dR*yvnB|jY+bir-N;Q5K{gzh z`ecgI6r%_`>1}tKq`=!>>rSgV+|!+YRz#z6U$DZrZ1b_|N^Y4V$bnh0Dj#*BUYY0_ zyy!+VGKG7g^GG;r3$0g#voVg(PZUwM0hBq4jw+%uz0MTgg%grEtG$iU`sSY zhzcE2nRNvVYxU--d5EL$B5tfczEUQ1xA+pZ2u|B|Kt!lt-ve5b>9E;zs&?G0Ao6K@ z9jR&%$IaTC2EPyrM0x86%G0u^?zDB-7&N_$^VGT2I)f!oShM|(zZ6b zV+b=lhWBEtLF&|;?tBo5E)j9aMK{hxFQ`#lAhvEsQ$Au7r#s`?T5#h)pqy!%S0C6d zW^@2vSsX?eK4J&>p5R8%EGxs$y&HGoZGe{R^ zQkBob*U-S!<1@C7yWF1v5r(q}sSrl-UXI8wL;r+VQaj-(=bSP-fgF5gJ=!lj#QUbs<%<&tr)v<;txQGIP=vr08zThH{+ z#+Yt!ai57aR#{l7X`HD;2MQUZ9i5OqDs_9D9)G1&4>ASV8LJxtG#kSig@$Sc%5!`t z166=tIZb%ucq8l3LVIJNw4wo>vNzW58q8FHPi$bZwY(dnPup>tdG)<`0hYGvTeA+K z$viN~jawUIHO{EnW5>cqPwAi9Gy!4bf;^Vg$}iR|^{pTeXO)L)w#vX-*_07%U8eAL z^^}w{vbHI=u(7SdB{kk$x)D&BR=Qtzx?aQT`VQ}Uo z=5XQWC81QM<;9Hcq{}l+e-<-(8_N4uQS}q5d02OT<0{VTc%^g6^Y`tOnS)#&dXC}Cm?Nb z2?LLajDPi`9nQv3DJFsbaW;BM9jZ_{7o)oeYa?r?`Wb-Z${rR(<+g>N&@Pnsqkb;N zAnBwpt#mQ^)R|nF;jfe*eOW|ZjQ_S7<9z&n0y>#PVga?t^c}2mC2x z%aB6_V;iOkuVD16`8ApcrJ<4kE475urnCyilGajEd98x(EU9&Z%a+sHx|+DqMePJH zbx}LP)?sC`>9+t?TpXF({yDiJ`?{Zhu}1{?A! zZEP`fm2pN zPPbpuruN1Go#Qx_`4*h&2>QQ-s#HX>1)(M%3WRw)`+wE8$1hAhI~XOYmeqW4R=Zrm zNM9=i@f@60#8~F6B2=f8odwSLqD6-yu$DJ(Xn7ITzE^LwA!w)0#>Ti4E|ZpYHBM+A z%zPIVjNVt_!exZoqUI$S0))C}w18V{Pwl!H>l>45n7!KBmv8VT6V$uiNt#>R4UzwU zyR{R4(&X;OAreiZ$K8z^VpEeLP+yjGEJV{Z@aTz}QOit#cinDcg4>}8ChcM&RXZaG zL8|RyTZbo&q_c%YEvaS9P1}R1&n$qSJ@~nUU;VUJ7HYMlGQ`5L9W!K_v@i>a$}mJ{ zNV%1aO$=EyqleMkI$L>Timr_QrQxyZR!^fqcbcP)D;Ra=L;AOuv3d#hi`%%>u{5Wa z0vg35lN$Cm_N{msT0jj5=0+aFcYX|2wm*D>c6>@Wwh}6-4=KI3adhnlkKSOTEN&Ws zXK9m&?Yc~3_GtI`gxs_H9E#NMhf^HJ%G$VgO+RC4 zdezrxCyjqV@A?|ur7jPsR6k?D7c3tAk)Or&KPal7v9e`6yZH}V)el|do%iWTKcjo0 z`N$jk1?Bn~OD&Hu8VlP6RK#uQUN@?fON6niRP_!ui!eq>L;PuHgwYS5bTi$HF!nDW zYlEc({=LJ;F8RmTD)KMpl@^x4u1)@V>S1L)4A4W~)ycn_dRSc#YwKZMJuIh(?s`~3 z3r!hu#;8Kl>)BLgju96o&oRxLW85i~a-E~GO!E1u-)nF;adu zol95M{<9fjdU(Xx&8FBNM>T+&GADl2)as;hosArKN~b*9cF7fkvy^(uJaX~|*p3-8(T`)cS#hC6ab$>|TKQa0`#5~jpA|BGiC&t?H zZp*vI3Gh~b8vl?|9#WO32roZ0IVi^8dd9{&YxTxe4-@oop&l;P!xehir=&(7u7{Ix zdVzoIbo>TA+^mOvbvjQMjc$t$Kd-&VT79nrcIx4edU!w&)ATUi{H`-ProW%k!*hCg zSr32L!$0)!p&mZf!{=&S19$q2hX2Ru3!dp|2j+(Zj}i*isMM>0wtr z?5&59dN`az?x#)A0n_y`UJvK$VX_{^>S2N&ChFl5JtRF`t%n=*aH}5f(8E1?xE~>> zZ*@oqr0d}sJ-noc*Yxm)9{!<+kM;1m9{#F_H?L^Mn4`ac)I%%X7HsrTF4;~^-^xh` zIO}04J#^Q@N_zPJIC~%Xrm8dkKePcVjY*Y)Py!Sv$e#cesv-m|P_asYDixSSELssU zI@GF6qp4aQ)2K|VhiTO6s$-gMOsg`D%3M{%=ukUlGis+*5u-wz{-Inr-}jT;GHCn# zy?(E6UN3l``#H~f&hwme?#=DZ&5g_CZ_PzF&D=i2Q)KugM2ZK4$U{Cc`FQG`Zd6TPAm!{HMu=fLZvr$uCTPZE}yvW|M|V z>yT97nI;FC9A+}lWP!;-lS@oqU@~x_S-8aHI+NF#yxHWa3sN24X>Q+ZQk(C$)#mo2 zCZ96-N0Tp_+-~x{6a$uzOyP5r-;Nc+}v(8sZBm$2Gn(v{bm4^q6!WQ9rj6Dj%=swPRU<(*`*b~yjB&K+lORs`CqNP@dV`Aner;(0fq9~YO-WsYCGR# zmdSlTr}SMWvz|r*LVeRYcYU5=7&Ef#q| zvb-uyeUr)ju8#hjYPYK~#eH9a>-|&Pd-@&AE3K*R|GzxDZ_57kWBsYXmG*y6 z@~<_cOT}o}WNz0?PBq$kdWzdm_q=?M&py15D*5&C8EVT__HAQkT$ZYP^3oJnl&2WI zB*lMT>X8w6x#nv7kbaq8au<)ha<7$f8GGeEbGv4H%6j|XQ_O!p#mW~_+*N1tWmA7K z#oWK8nDt7Eo8B<>S5wT7q*(TvL^0?@`c3wqU!T$o>(x!y+pkW`zgF$N-o7|c`Ic#K z)|Yi-p;_P2P48~^|G0gr<^Qqa|FvCXw?H@7znpWU{qg>ppL55-Q8N+PXKrtLFEs)+ zAEda;%(&*A)OO{&X1&Qq)4tK%w(LyRXT6_duDQL{*!ao?^KD|g9kk3A7L$@ZJf_BBoF!b?jjOWT?H!pn znI|2o9LCPtWNzmlNHs1rxvM?3ZRtoc%VeR++>Vsf#yjjgGPhSAJuri2HJaPI`ljA} zO8S{JotJf{oHq7J>D!Iec8QU4T64SI{_>PN?KAsiR`Lmoyi)NFnpI=d_}^SR6H zs^@&FOE%gUWbWu{pPVm7!Q5W&O!=uaxoJ>pyYQqG_YFzWVs7W2lnOQXHw^6{E(^=6 zEB{<`yKH2t(R!1cx||PB>AOtkn=Biia$0t`eOu-Xt_ADXB%=JxuTsm3)XD^2H( zTOl`f|-Z_7#0Hhj2~xXs`L^wq;SuI?H6E>AlRI(${-Z%r&rV- zyKrTyk;R*0q3L|R$z97+`qm3lEW04(R92PxX_LJ<;C^nucF(X_Trcj|wOj?<2Ay=) z0Il3R^n+}}w3qhlYM;e20>*#ErJ|Qod04i&;re;m ze%NC90LGNXvKLnUj`MO{R-M|%@(B#VW|+qp#@x~Ux;((p`zZKf2xjvEjsZ*g zfWWhh1~3epn3@>0GBu$O$*9a?v3|hM~8t}(d`Tqs4LWkv?LB(L0 zsSAC=NRxQv-}`l-`ZoS*pip-^9sY;Np&y3$$S(q`@3vUHF#>==SR16{&*_jU3&QIA z+5UpSnX(`ZKEU>uY%^s+7<`EBMz)!Y2KvtLJjNu|%Leo!@hSO!N9!jDzeo&};11 zp0Rx_K^Wn#+c@;G2o2GBG)BOChzKbJVbvKpg26Khf~dSQJb_0U^HhngYerxL?3 z#0OF_n7t5tOS5)+Xb+={=?GfS>tk{DVS5>Y!N^M5!@O0rhsLTt0ZWWRsEiELnsw7^ zGK67%!q^9$Yx`J2Fmgp7OBB{!Nr&mpx|+Sk(-(W8ty#O+YeLW?dk-v!uH&1vUvwCT zQCKhaFa~Y*W}P^L-M~q~Hj_DmdC&{pFbGRw6#Ae6tDxIKz%T^sU<^h@&uZ3j=!e!> ztO@9Z1}uQ?69^FcVY$>pe?SZS03a2NI~8dYA_z&S0LgVV%?uB2cM^O;Qg7h8R%Dp3RUAW+GNjN0%V8M$VH{RN?{G#EMqmsYFadod7{NmPj0iA<6l^0ILgS0*wVZGGDnADFWGpUER`2>72eqa=q!_fF<9fXkyOc^v_6AVNr(x3=O zlV|{4E*d}s2BCX0nLs~`zzA%RdKj1bDP(dM_0S0;umBp+1Km^cC-u-T^{`s%VfZZG z|K0gyA_g!f1~4H8(6#^vr!ZI058W^VOQ8XMQa_C;gHbmDLhoz>6MYU-#Jgt@x}fb$ zrbg(Y<8zo=Sbt7{A)^pOh%cgJsaQVIC8J0opb;V7=qcw zObv9w2rPn8=!G#@0pl_ z4PEQ7=gNxjO6*|N$6|)TUo!VFyqxKKp24qoRGM;(H;h27{*>9@FfKDDkBI(&<(?|6h@#A`XcQA)f8e88bbf; zi~x+jfrAnZ>S=gBIxK~ex3Po1cd&zDSjz|9u^mhuj6}(}6#EYt5$OF0JLqa)$}V6e z|ApUWwEL96VeCHvhLD2$a~wgVi3ZU2BXhW%Kwv2h{)9v5Z6*L1OE9Ouz^)y~(394p zZ5QIl+M?ai#l0>*=*(!*A!u-CO#%k9T6Dom^b=cj1q=pqTXd}yMz!cTjBvwNftQZB zQ!NOi+>zD`w% zzO~T=eSC=`!IXHeZqa#6MVN0(R6y6YE!xV^+xRv}0rc@DnkpE*p+(1*v;VvJ`kiY9 z4fuwm7drWNSP=Se!2rhi`kjp-cJal`g4Jw89}L}1CeVN}=(>jhM2C5oUv8Ptm(X$P zpnpO;FDIakg!VyyW6bn0=Sa;`2+x+{P05rdicqXY#$x_ z=@>d;7qLK^`t@wX0_@|^&9?W2g!V&s9SK3>MMeb1UgozJt|8C}kpw9? zUnf)Oe}e$7#o^z1<~j60AB_KlA%~HA?4vQZ3fe^p z_ z!~lBMqu)#**R*O6j9=TTLoi%HJ+xils*C*C-_WY7VPpgCq3@PfZM%i`x3%hW=z9u# z7=8u&Tgf;=y|jZBx3d4oD1@o7z22%5VgPOIma#WmwHL-=9gO~?RmWiPtyb--B(S%s zhvA)c0DX;ga2wlA1j=^w8~n0u`i!;>F538X8>tOsx0+V_k?_X4feh?ix4g5kkj0Z$G zL`HYgA@o2atxZ=$pS4Xl!7#u7=(>w3%4pL*7=~5QfVI$bT$`?kUKoQun1Ht9+qC=F zbaX7==YJ z2E8y2E1+#fn+`%3tc4yJfxchh2u7hZ$VjfFJ@k2L2ji>SbQBt}3ED2k9y($6z4*I0 zK*tn32u08by)X`|p}&ldV0bl|Lhq&6-G?3YLO-m4K^TM~SPLUC0%NcNddnH|`|%44 zpmPmV0;7S;F{BWK4PpS}(16xWM7);FpbHj25A;Ca6?7=|>ljk#zLE@K+{aY>miDj| z+OA^FKqst%E*OFySO)_>3Q-DiXnlZ;u4Y7F_?Kh|z1Ls}eK0EZun7jC0mCr+K{A0Z z7`~Pcq3t>Xgb`Q=;}x`np&JOW8ar58&Hf*u;6sSQDj0(yXuvw?ypfJ!2wEQ^kPUPM zL%$+G=(!m?=!H?KhfUBA4H$yi4-*JOWpWD4ETSw%Y-y_4M&#$Zh9VFDV^7Wf^8cd>gxH+0MP zMmm6g7=f z|4|CI2XGw1A#_6*EQN09gI-t#{V*ik57GgQKm*3B8QRAfv4@!w=-kXyKo@L+ZfHOc z%zm6Hf-V@`%>M7C5J&Js=cDXCvJGR<{TM^^1dg6ycY$u`haOlBy)X>@upWkB0va&8 zhIUVp5Hw%~^wi=Xx}PB+*?uN~;gdK*a6um|f_~_QAy@$;FbLgS*gZvuwx@9LN9>{h zS?r(@CUa=}6PZING@uJ+{~mkjf<9Oz+kw9j5rr_UhoP-BfYHBVSc~Hq7 zhG5Zi0Xle(A$|_Wuok+a7z#fk;xLZBAW|4PfDU6Pwrl5~7=c0Ux*Wz~H4IH^*Kz1N zwOtqenZTe8Kkn1obrsuQSPT6y1|z3aANUImX3zk7U^xuI2#mPfb@o<#)C?fS_wV_Aq!YnY>75*R|_X7{8T3 zVJOh98(_4uUFW@oAATIP0=laR3>tT}bN!z}WFry1jKSSFhTdSi4no(acHIP{4>1zk z=-`QV?Sr-_86gj2>F#HA))>H7k zOM?gS65u4&4B4^&LF?pAMoO+7Cmp8ahA2zij{5 zp}lVtD6Du}-v22C5u&gb#$W`-VFNT^9QyW=={s}?J4XEi8hWN2&~a!x{eUid zkNTMhbO^fVAJ7RH_Z-lkC>fQYL+6SE+WI~twd#QOLFeiNx)!>w#2$wH`1yd2p%40Q zIiRZo6k-&@(0l6v-2me-`=4}Nc|hmw!oh6^bUAe1PDjvPbwC$<$m)kxFn-qo9fR&C z(LbW2-yhIH==vkN@VNup^)Vg)iT2P|M`F9_oKQMIAxsQyX8(KfZ0dzsfgbun~WmojM8wUVEp`{x1<{ zb?Q>#37xtcMu*S}33Wjs1j9T@Hhj@B^c; z4%%F_lX{qdVVK>-{%@PysSBFOWJ;&5fCj7;=6C9ta2kf+&|o^5zz8gdem9PxcXp?? zeoLlvI&~2=U={SA*{Q?OHy6L(!Fh}n^v)+>=!Z?;1&FMOq1rhjsF!BrRp!Xt1B0#}U z!S(|VFQG$dKp%9jVF;lM*2CcC*u@C|x}X8Q(0v7tpzTUJ_z`;_Q}YuUuV+Ni{je4W zuR*8Yb6uy7z{vHTIuNH2x&Z?k*nS0n#_&!YvK@jpwr#(rBk0^n#`_2WdW65})P5Mb zo1um7dzfPwgmGvKV!xkl=!Uj?nL6lxfW-%$q0WFVXl5vC$Pl`o?9|Q{96pUhwmr|_ z2!^*Xr|7n4nR6I=jv(l_(EnAZHlP9XI_U6gMh3=VIShWo zltBNt1P)!_5daMD!T$mL2Pn8H#9%3mLmxC?6|{X%H>oRat>-A zj1N1gBhWMappL^x?m_K7L?WZ8hoN!QL)-X++IATK6Ao%Gbe?)p*FoE92X(fV{r_|- zG#$)1sHZA7+r8syZUfnz`58#=aPdu27}PbofDp=2X&No z{?dcmfUye>Y7gx~EAUT!1XjQp48riG1d`Vf&)4q`YpfT47=&%U$4IPK^ zB10EA*j`|0zpxmGFuKyv1`K%#K<dtK-b0e z14Gby0)EN@L`uP5M#s>-+Rz?oz;YPAgg~J8QbSimTR9^HBd{L&E+aGOT*HvVDC8kB z7XRf02AyjO2!>!ajNVE+=&dAxf%pkf$fFRxjgF!Jb{fF=9YhX2cQO^wxQhnaWUvu? z===>+1Ea7My61B^dHJ8n>lhv)}7AETck-2daI z5Jm98CK!bB8_;o>J(P|gXKG*smO|$fj1cs~APm7;7=sb1uc1S!hjFQg)*R}g6FQ&7 zAM`>`4*P$YLODVl`l0J7GJrl9hGAGQ^)LpVzh|zY7utr=0nCGO=!ULZ0);;4gJD=D z^)Mv$Pm{US!)QPZC^U%yG{oQ;GIwGCT`&xbU>tg(>ko{8)We|E!&<3_5vkw82*40D zpaGr3Sp$D0bLfX27=eLu3I+wg7(7enVgSQp0PCe5#-Q&x9KtAcj-Z1unL^v22nc#% z2!>$N;a?c3k<`OH7=msX`wRPjDFx?NhDr=zl^DQ~7{EHI|0_c!^{`3mp#dY$ z<0zK^VJVEhKq4?+M*z_EA|oO7(16aDu^)vWSPEU+0yLoD-$v#z3d7L$H!_D_7=vM$ zfN^LWO~Y5n1p1&`wqIpxU>y2kI6?x@{~Ccn=NtHeL1@4@bdF(h2evaO6vEI0ZGUGm zLLcd1g*Z?Ci4ny@g$|KOX2mtz{j2N`N&#E8GHq3*;f8iH;KV{88-)<6w zf#AP!M8Okdk&Pp=&oO|;S40ebO)SEb3GiDwfX+SC3xC1^j6wste`e8+$F75^gi*^O z?U{f-SPpG{4(ZZ~B$ReY`(ea-NINI7|9kr#(nXV~fVI$RKcs^$c)}rF2g5K1jS~;) z?8#)DeMq}uc<>?36a2W8a!9+N0gIsXq(j;Z-LL|BVNkYn@F(>n4`~B>V0M0hA)?^Q z$000&G3bT9Tq1=L7=pG@hjau+VH|o!@!tBLHJl zNEC)oC6E9G*9?YgIvo|!5sbrv0vw;i&_TE7kPgH6;zPQDp*3I}+Lj#BR)*FIozMjf zpd0$32UbHb3_~BRhkh7?K^RC-2vOkY<}6{DCvzhPFb)mqEWsgDW5Bpf)p=yjltj*F zDqv_SfkJ;Nc1(?J`5_&Zsrm(hO(7ufA?<_FRg4JquEswj6Ip#Ipd(})Luh~oj6>%o zWG0zIC-lMu3_;te*uy+%yOaQ+8y4>E!NdK^O6TLdop z+a%pe1u?kIM%+5Zg+9t!UF=@>>oz#;VPVoF5+kRhK#!;c66 zhM-rrKW4;a8&*RDhM}#2fT80i4>rLtG(niAibua>>(11-ae!^kxDP|;2Bw!eU)zCKZunyEw@KR`iAt=8c9fQ_$Fvvd4 zjfd330vLfF=o)mG8xIK_`k{L;4xtwsFbbXLlF$(Bp?@g#(CK7^U<`(_3ynA&(5{6z z8gW<`L2oV*LLUr5KMV^;(GUh<0y^^;N)L8paSS8l7%AC489UjYKmvY=M>sO&(r`7DfmypF$_*)ZWj|F^uq9TGK2;ULFZ|QbtxUVU>@6U*aRb|lQ|5Y z!ALD3kXdvDgRlxlVF<=y9kjWbA{Yu#NKlADens5k=Qk7!U=)@@V-5kpVBuk13uCYz z`sR_*d2B-;^vq|(gl92@(6xXmIG=#e#t-xrGmK*g$<87D)X?1OSay4DE7;nBUiS zuE72>0z!AKAt7|<R}j0#r~H}jqn;4`Gxqq7Ck^AdL65ECBpS&2t79v0E|En_3jO1 z3?r}sJ@zZsiWf&W5eN)I4>X`p=%*v-xs}Cy5dpBsE1>5#0)?^L84=mOgZ)2>p`U^i zAp+g2a9l-)&~+yrLO(1O-bH4@Uo#RHGh!R*7}|bA$It~MFbHEXb~k~Rk-)v|P0)Z< z(0d76r!8iKVk4uh7QIIDYpzV1a zT#lnUI)MId1OmOU;|Ru~8#@D*iv1g8widhX_=O=Dgb^5n?!VIx2H#}uT*3YyuV?5e zgx_M$q3vxtz`zOXp$j&kdtm~4-l5?-9K#|Qhh7-nK}Rt1F704=Cjnha`zX5beWnz~ zp$mpTVD(?wC*9)yr)qxJJ}#}}T9vcYK5qK12lwj<7G6d6ta5vXC7Ys`e|yl!nfgWO z9?BK`D|~OidUc!QoPPCelttB>JMB|)DlwKuILS|2ZqIO1wDqxAhJ36Byk{RVt(dRM zElbrGrk!WckWPxI--JF@bo1qc>>!pcAFB)Bvrh@^punwGL3&ehr@Rnovog>*1UR03LKc3gm-kA8}& z`%K+~zM>cVa`g4R*!$5pqyHl1r!cKFFEvutR5Vg?nptrT9moiW(KG&~o{ic^oKnn} z4QH4ETxSN*Bpsp8GTYr?>V|ZrM*Y)1aCmmQ#j?GZrY`h7Ns~Yk#Vo$U*xkDqeFpmJ zX0O+p-Yd|{da)0pZ|uds7JX|k_7U{mpX?vlql1QY_0vD?g9C94#(&x~0IQXUhM>aK9_H|&J%(9Tg(v) zqpv_Wrz*c|s_M}z(Yx#xbqWh59`mv7DyJwn*p7mKzdpG(F z^zQbh=w-*+2a=ijsMy#`gDUi`=-o32q3=NNez2%R--kXuHA4AUnSuh}vt2cM*_Y}8X;(vi_x@tk@9Cvo0zIoyHA}k^zE0h}ott-?&FJ0Z zEJfemsLubGH!%xezwSOuA?nAYAKgy&*gEtQ^zPFgMc;tl{g~E-UV~nk8uyZ$&E;XB z??C4e#hVyAO4l(edjRXK7e_AiA$%RYyQ3oXLiFy(IxqTK^zMmOpl?F|Ut)pOIYp3) zZB%s6tQNho7e^8F48D*4KSwD)4e0skNBeP;eH?uS`barFm}k$rH|;F@kYIX|T^(w$ zPZ~Odud*NgKA4A95eKL;HTM(yNLBVPdq!HuH)`F#>~nJV@HO}Dw)NO%eXHL57pG3! zzEyk0ZZltN?`~IQW8%M4^FFbU3#{c^?A;GYRn%`nKf0Yvd5 zEI3x8Iq?-#b1!}x(6^&^Uy1Pm6?^{YD8Fmp zu-chQzW?8SWjWDjpdY=mWNHe~%h0=b;6dNm&0gA;qi;o5<-6?#X&G(mk=^zwX=~fm z&fWHLIl1lo^)8Zg&FfskkIvY0`?&_n zIH`%B+ZU&eAEj>k+&;y&jql!{C{`Y2c3w{*|I=J(#>97i61$OwnmL554RKi zDUJ4#Y1^+*=QlEryQy6)xiuN&*6J9oUTU;Y4D8~I<%`72qPx=W;2kYjj%2(f2$ z#6blPc6IAe9Ms`p{8c>2TV}Wj2aE1Xzr%Wty)2lPwvmaP$3(iYlRsi=uI8)yVz=qg z5*7KcJ+I$(zALY+YR+Shamx0UJcXTr;*FF#aPT1Tuk54x?i$SZj@A2LJH{L@vs*NjwRBR89;+T( z>NxwjYBmdpwCFMFv4G_uZ7a-a(PxUI+`~&$^lLjm2Yl_Z%b*lTa(0}HK20XB z;P8@%pK#=;Wli>Jr5P2?`VW%B#=}R3qlDpDZT@j23#2ZGLppY6Qa&=jSEc4xhReYF zdgAz)vNc2;u_k+7pIr5~@9hhZD;>sB&Do+isMq$|PtFR zwlyOEi}h#Sg}nWH`zUqj8~Y^N+6~Qmn^;@)5>@am193^enaP`Xf_H+A{59hRHgnDJKePWiJ$*sS&S?4Ch!ZI1w>)#Pp zAK@E8bMNEl1jygIqmO!ZkA2bf-48YES7p#nPAlnJi!%7vn+KIV>by20d)Ty_ zpQdJgkLwK8tUr(l%F@)O-{X4ABhC66iNKPs9z;mnLt@`^VCxvE2JE#@$t)h-qPYZT zu@ps@b zsvqprY$cEJ$OLv7>z|NwE0JHH>*%ju`@udbbHdE{BeUa=rf}G*#>5e} z@g#|)#hkusDS~aopPKcDa&*15FA;oc&ry%Z>BUmltnU&}j{#|i2mwh1Y0G~ zi+G$p((377qVkV-j8wCJvQM_{h&JnAio6whR{;4zksCkcU~NVAWhCVsHRor0fA!-} zEY!_BN#bE?Vm+=Txr0$P&DQuIR2ihY<4RJC^|7BZ9{+8#-Yr>G4oEs0q`v>zetPEC z@0xYG$d3+CllIw%58S+m9nbmLLoJ%O>?1EZW;dblDBZ8+y`t+KNpu7Koo;#o zdiDfP9(Yp5AH|-538od6fOR5+gVA&`p{K5^AWrnn=n|ah zT~|R0(6{$u??KpE0D z`X==53B=I1p&y-qWS>AU<3SfMv1%;Esxx69m%gvMMNK;1an=AiL{$)K*~9AER{J2XJ75xXdk~7Tre{rPZN+ z*x+!gzqHAz(qk=pw5WLw^;w&JcG`-^RZhG8w6tB1tMl9GtYdPEF5}$ATJA_5mqx1J zx3d~8{F0uYjO@-z$~h0M;;?k6o!xHBmCQOZTeqK(+#5%$WgYg3qsy+`ug6PzoVcWq z>2u5TP%8hP?BlF~$>vyWebclUKS+Hh7B&A!Squ=1(rH9eug*7k zYbkuEMQTO`yl#S{IJH86LA@HFXue9=#}Ufh`!if zC}*{43sMWC)M7b-C#*067$i?k{z8ytA8^?F?_vAtY2!~&IodvFW(RSMllGkR%EwdU zx8hXdJ|UqwD>5%rpKZ@woIH88$i7satXHpS`v|pFbHQiN39>P6TKo$8B{Noqu)OWQQo@Q7pB$js18vxQ}CEX3ZH1%?IQbYvnMtu#aPG`mUJ?HEW$?XjX&{x6e%I`=yi6 zuv8~%@mr4L)Ej*q6Vf{7s=a+2Q_{A~Q#omlaXE#T9Xluzua^rxyXUD3sZZN9UrjvT zaqe*qauMjPg#KK8{<(du>ZGpUrUePT+NxId;}mOlx?@t>wsY0GbjO^uVvl+@-LW`x z#iE41SHkQVrqZpBv1!{DtBF>}h|JxK6Z!$!Yff^irBq}VpO?@(=)tVdwF)6$CLYA3X9 zElubz%gV>F1c3wl=OV?B`gJY56gsua-bUPW4LKPU7JG8OesmD%5M znJssDLcc3}Pw8;e!Q=gzfgOp2&XLr%4p$%dC*ZYS)!E;%*t6lHgq|XM%C6xh@<(ZU zdcS_=`C~G6St#;ky~?$T9)D-napc~B9<$LtG=gbw<*&_`GaREbGpXQF704E!_CiFOop=5N4+E>Y2cY3B{vaO6q_1q|bLWhx) z2iS`;9g}j#dyhR3%F3#p%bRJldMeW~ZsJ7ue$8Dboav1%N&V?~wtZ`QT1VHPj$)s{ zzNSSrW1s1oy6uR{aD9))ywKfhUsO4g|T1 zV0~wznt!6>)U=Fpb<>HC#kOtdwCa}zQ88!|N3Z}#^6yV{oSvC`ajTvt_USG)X`th* z^K(}md-P&|umsQHtdVCaok3X+^%Y%jA?StZi$zaGAp&l5-VYK6lR-Ge< z#o4apIRvY15WD_%9!2$EIU+nPvi!BSaIjjUR%)>z?$i|)bx`av(w9N zX-(~P@|z}=x3(sCy3sPK352qLwjT2tM$#S2U_+%EFobT`{!>kaf$_Ju9&<<*yK?Mu z(OJfF;*l>W9`cd1yrfZ+j}uh;*M-Bsl8k&{Q@*M{c~rU8@rs?vtEz9M3;viR`#O1(Kn#8N3J}kK`9mMsp#IZ&uqZq_sC0Z zs>}|K)@4r(p>K?;M~1Vu(|FsHh0}G!lyZ#vwePF{3}?W$ystX3%iQ$=i%v#%%2YLJ z1Vg=#ReTd6TIWtxD@ABrvR~gwYwMb+>Yfpf;j4#y)HCP$Qr>ZU(PQWt=-rP(33N*@ z_O=W7tWMpQ>lip9kD{0youy>qM$h<2%^c|%k#pC~W3!Y|sGz>&BegfzG1?&y#@&XG z@aiP{h3X?Dvb!OrJ_-I&@LqGP3$t&urc38NKMG z=oa+u+4|5s)L%zA2A)<$abH8vxekd5diQMWk}f6NoDuRo(ursE%s7r-{4dFPT;^7~ zPiFgkGTX74TR$-~eJhzM6THaG@{6hJ=24E}fsEZfGpt)qCcWrU^o~z^X4r(j58Xzy zu9qxT1APy=l)GM1&R&tSC*6Tvdh*>y9st_$shT*NHT?GJJ#+O@zk9b@M}20=zgu;_ zOyW;d)uW?XswMwv)lZAiCttlM!ak3gYfip8IGS9yeAYA9f?sg<*Ng5!-;CaUHp|gB z^^o# z_GEJ8CA*xB#)nT@RY{nLS2)u9v#bE zS^jG#@+&4!gnj3lNj$*hjb-vyeAUb3dD+{0(LLzJ=shM6y|5R1Kl%*x?kQKB_B|&r z*@1d?oMXhWr=}m9vy6#6t9R2^t?IK`99;@Hhnn%VnebCxvnL|Ys7xM*UhJAZ#15`l z+=vV72VJvw5x&$Z6J+)%ZcG-3*Hf(I*_Pc?jG=E(tFa!QFim<)*edbz+X;>_!wV=b zn|5scPMI_G@#@0~j$wV}al&iWeykl4PS*B)YbNpqGdEr)iU(;Xli1J9C52)$fdQwe zgX0~;`xL7uCpreE6{AtDd(OeQe-l+->{ zi@1lsIg+!m;FQ!pR9i+|>Kd10;F<`=gCcB&H>QpLsOA;uMwX zLfE>%%;@%0)GQY})W-ciQ>eIvRn?0gMBjkkJ+)f&_2}JGi0ktQHv%!CS`7HF=zJy zb9Uvy)f;*2YI1g;HfPs*9wLA6CTI8c)a(ZM6puqv&+%}g@9sq}K;MO)CgGVc83Pac z4)pHhQEu9I9}j=Zzq)yfV{FbcSI=>XV6dT0y)uQrNVc_^`F&vKSHQXHwsteW|0eSr zuJWfkGSX%oP&21;>8Y&9Oth0kr!wiqojsGRzl^=A7d?hP1HF5a^3>~m^zKR8)}-u@ zPEu_0(3>YFbK~vayz@YgGHxoycdD8BEabJF>cV`vwq~fDX^v@*CQer~coObHc}E{G zO|6{9kuR$`F`+a1w)OZMM4tAY--~X$g5g8&o}@e#JhvBn zH~J9t?#Y*$_9Xwm^S2@<)R*i)t()#x(B}~~D&H}%UlgghpV~Q{Io{Y$?VZlS%F@3r zb?SECG&QM!W0NJLO>ZD7>yzXyLSvzs=G)|5K$_zR^h~pU9bxyP$IyqMcTY2co`v2$ z9ov;D`=jSlvdKdi|DX4x_g8pCm_#J-)HZcv;3gbo?dkM zO|C}t?m5eI*mt9M&smFlz;WvX&jJl&+D11^C}LX4wZAdW5j?R4xS<^ ztMf#aGs-dAF6Ws$vf5I|+p+50QC$DrbUKHl;uF;)r*k-}oMUD=XS({~bU7Ri?3rTT z)f|p`(cS1X(7UHtik{z#y$^jndiT_;O#5S2uN;m-$qv*bGdLsvcJ#5S%h)tfUpY|y zXBMgEae_BK+f09PD*aN?2AS!vmh?y4tI#%bK_mHYb5n9|FRS_`eg^kUJcPcX7hN80 zzaG7N;!*Uqz1TOQm#J%JIR-8>Op~LhSyIjBBYJW90A;S06sFDPy>(%xY$dNN7Epip zsAGq~O`QjQ_h5DIOvju78-|$a-PJNT&Z%YH4S4EpS&( z&-7jBJ9^RO3H{sAyQl9(-`0zL1^QO>?(;4W_{V<8F>781qc+unnl;ifbVNNHgL99~ zJh@|_XAG0c=g;h6X6A2CSD9`(w{n_k|9iTcB|_yaGw0^%>Poj`_?V0lZF;nfuK5y| zQ8_zB{}pAcwLm?GVcLcfYNuQNQo391b#n+Q!C;f@W4Q%t(rm}6=`|zU)TI56q4`zU zanu>vrgw`=^W(|@(>R}LEEV@$X|yxp^$Gl84*$bV;dUmila-V|eCT)u#DQuElE1 zQt9&@W2bNCVcH)`ldoLc0VG^_FV z$7Un*7CE@9;ORC!o9p1#+S$o5%~4+zu|7BP*z6|; zAa9>jqSkNYX2LmV@pTv$%YD5~uVJsVW)+%Yasy0%byDR6bgIL%yzH8HWh>wgSbLn4ku4AgL zcn^mKiD?Kj_X>_w!xlOwWI1^ot=VfHs!5H$rG~tyzlKxF!HiPIu|5AH{zBQk7KH;@i;PU#XQ72S-1VDI(F7WZF;rj zUVb(UE1f%;#w~J8v~9Pvr{sn%`38|2)7$m)G7d$>q>ODy z!_)Mmr^%4nh^SSD?i!l2@%*uwf>xZOq{z8?x#4$T_ z+lY4km8`AELbY^>W9+mc6MEiYCqENX!_&HLET*oP9LK`wn^&l3m&jj_JeT}D@m%Op z3(s?m%90l!Hik$`Y9Y(gNxL`^BiX-Kjo^ui}#c9J&)WG z^exNPD>ujh2-*F0if8m?Zdo;#mE z)Hd;i^4&7^zg?<+z*A;nb-P|JN2-yfYS>af%~~H)^Okb1Fyygz{fRVvu2fwo6J2=^{5h%kvQ)KDF>45aS&XAYIrhuPuQEmjcXMvrO?RPJ@CG!5r=BP84lzpA z(o#HU)T*0G`B-eo(`sj_V_bU4)9vcuY{ywD>wbsT;oHD_+SYdcjO4rV0=4i0Zs{p| zzFqDQJEwpBJ)97}(5@C9u(OBC8OclSdc}#DZoNS6++yEd=9oM^V@JF0q|!QS*^&Dx z%F=E-a`%G_Scuk**m)(nf@SLFWek|*y>^{nfKaqdy}HbCmaXw{yS_yRtjWs(>j^rT zwA?W{FQb2l=E#2JRVog7%dntdW5+yrQS!6l9QE*W-U-GJ>d@Cqll)VdY0!ab7~X)K6gO$+O17Rpy%tm&k&07fNc~Chcfn zIiW-DkQlDk|AIR-W=!lzEg0`Ab;mDQFykkwXMe$hS>aNh!nKptxfjBWDe4j7j_GQz zaN`+j=1N#xsP10L2lbogcIdIplGVS;ye;I1l^l!L@-X_VxFBGC44HcXxl!87A+3^U z(NC54kJneJo4xFYD=zKO+hyv%ND5iE4f$>n~TymSE`At2(IG}b<--xnzXEY)K|hio7A}%J5KAj z{=p7?`~daE=X|-~4;MQo^vi#YFi%iZKDRqn^Tm8JUGir&y38?d%*H===*zo}cJK}s zGx}xd*6%J>SC(-P(D=V}Xihgd0l3&a!B}d~Po7%MOP*lJULZduQ~4M5e3@g8Z5Q?@ z$!^!bEOk5k39B6=({g`O(^fm?1oC-u{n3*wizcX;cmU=qay!>4xKOi{^@1Ox5YfQ=~BmdTgHnWdT|bN zF>>-79N%2Z{a%)TcIYy(c3o;(7nY0lhaLKUSv0edxp{b$dZ?V65Q;zP(EBB{^N^Fb z8h=sFJ8{RS9eSrYDeID_T;@18ZN-1oO_#AWhBT_3!rkAfod46;x4=bNbpJoBpa{M` z3u@weTk(dNx}q78=4xt)H#94vvJEYf)K>B}M2r34;Yjr#XdfIib-@4vt#N!Kag8!mZ&!}Uwqb^nXh6|C}|6G#8UPrG9 z9Pg#;AIABDoI>EpWBtSUY~Zfj;LCyM1ILJ={$YN;FWU(mFWLOtor55p6omQ+-0mC) zZuZi#scP?LPF$97g6mv98=tp8Vb0QwdZntJ4d%aTLaI6-J3s7JWANoXo3HvCgOxc5 zd@n9qh~^Zr2}9pmdmMN4A+-Mtxew>C@&R9!^G@hu{Qd}AaLK(GHzHOZ8 zAkqDL)0?gzxs+P{>Ry(JO5! zW~TbEp`sn-&E%zu_Eak^7FX??eO?1Y@5!s(T*mjyfdz#U;*+Oi0}gVDmqi89lMuLMp5)E?Bp~L zs@6WLAoF+41H11*Hk|iW`+Wt;-hFK?Qi!VdHRWR8`V4(LOC4^Ay^l=k7)VCpqLNNr zaXxv5YSYzTlqPDEV`zyu6^tGTH7G$N5Vcc zY#WpTzBgFdX6g&rneTp>R=`XR31^`WiJ{-{vP$FrfVQ~T#rk>_*80} zskS#&DgN$tCxlEaM!7#h6EfA_ojp%h`>eo3agA(lnXP%K@E-6fwraZfb#-`)Vfecp zx+&*mG@_ivq*SWS#Ny80Db-@tbA76qVoaW=c8xpf^ZJe0xpeuGE$=hcz6JOjyYk%z zZ9pz^pk)|z+f*u@hjt=j235{eN5na2REx7RGx z2{(*9ALvY59BOxy^HqNxnehS`b6=%O2nsEvYVo03%W2|6wI>&-otoK};!dGv(4+q} z;TG>MPyr~^9N3aWhx zVAdb@lWXXQ@`2CC-+^nVQEiUeMs0`A!>fbE8rZ&f{5r|?<+McQL7oEg0;9o-ilePx zOo4=9`!JvcUvv;1U5Srqo>-`kGNihwXdxy^o-Gu<2w}u-%3K7ubZ(=K9476iBa5)I zAH2QVw>S`L1gG(A%S@eL!h6B8fBc=FOMc1$l=gd+@)9>y@6&1yi%Y2VCA`Ck*oP4d zXJ%p=HCl|GW-oy4+?%AP$;G_Mi?Jq9br9DfH3qx~@Ln4}Tqs|xnnQ~}sTOOXN7ATv zF}ku~+!KYf1F>|HM)xm4R~CC%0@u=LA%GUCpH=(5=F(~Uto(%b0UF0Ey1y+^dq#S2 zAJo&(hfT(9&2-t&u<`qUh&KK>#pGf_81-GXIL?oG@vvvCM-y%ZzK_$t{w&SQRfn4# z*Zd8_tpVTfcI8_-m5aBD87Hdi4>M#zoDkpp<~%{+OJVM^?-+y~vW}!vcr7F$6wOLqRuS`%oH(?u)dV!}z~we;(TV z+)GrGhh6Bom#Tf}>FXcvefxim_`vlZjfsqp2A**ndFw?wBaVyY#1Ye`%75Ijx>XLsF?4cLXt=Vu*m$u>% zJe|H+p$-qSw677v)t+QsiS}r3drDY|)-tLi6|6*6#j!j`@H;f4bB$Odx^p^pUWMj0 zwF@Pz;<wP9gDMd=tBS7i?G8m97(HFSn0VT$_6)WSsL_@RsBR>d^h%uSJ)vGe zSD{zn`sq~bR0s7dyt~F{3jko8QNM_2F%*cgBib#t)ABvAU##ToID?*j8Sh3T;wbNB zp20m>BR&#NoI$%^hLRZ*>D0?m4$GR+t1+)Fyr;%Dk{c5H3~hm`6P;eI;7^a_>&swPwBD=70|+&~s#0JVIkX4Xjh`xQ0L;KadquOhPR=NjKXY%GtA zyy8_X@WkSJvVn|_eon6Y3?em{F20K89P_i1R(KPwXJ|gI(HhL@1lmQfvj&?5o8_LX z@eTbCP>UUcj;UR!g0NC#n_1&q1$zZX%@Q5)z4<7({G1x!{!T#Grpr`c$;UKC*;M0e z%aSW*^P1vtgTqVVufYJZS19f^%f(@Griu_H2_IU3<&<2K|qtLQ>y1)YfgvZbO~|vWK1mIVQO0t+CgFYzE#J z`amJ=(9Qn%b1U$8EN#D`4rt>#fH(Zuer;TdsfGQflQEhS8L?Grdwc@KpaPY&N(}8D< zuD#_OrbWOlv=dQ-*5l7y@#h}=>ESzk~J5&yBUb09nE49FuZK*n~k`iIFa ze?Y5+yVx*QsDBve`!*|XgAW7lq#l#qhk;FfSf-Zzil-K5^tDRD-va5Sx@9gS~- zWOqXh@XjXu{j-2t$;e3h=v=ZC0)JULNzt;($i$>W`yf zea|7fm(IMcb{wh9#@%m#ptjxci#$^6CoJ>=-y-lt!zO|E2X6mwt$yBwh0mK{ZAJqx zqmi4{?xD^(wZ68Ti}CYl-ezpL$bF&Khr{&oCHp)yn|Zt-yjkst`tSqTv&{|KF*b6$ z(-<&i)ZVgS*B`ifZmr+BD$G9`cr5USqs%G5Ex^&h*FVgk1w2aN{-Z{|29*;)y{UgV z!d4lf6Qd|y{?e53L%^-zkB~U;=wbXP;PJpaN}OMqFn$fV?W|W{(Zn~V&BSTr&3@(_ zP)H$q#KO#4UpDtAb6_ve@0hVT3f0)qvaDL)7>4ua(IfWLC}D6EOA})7*H!&}<^;s5S3$n+~@ALSp^VIEz5` z(gG$&EUwk9TYqHq9+0gRwiTbRe2G6(!O~Ak&=1`?2OJF$OW1oG2`$fZ^92d5F&huq zhGuaX5bKh97p*_d`ElT>|HJFonr8!d(kw`5vlrxs>2J~!*udoA+*=*|ILKz|i-gBq zz@PD8X}HK8_$z8A@S!4S^~X-P16~Mxkj$~Z_}w3PIg4yPvg$VC_?}%eZlR2m(RgiK z^AX_jz#EEN3*1hZp<(;2_%k0Y4K+Lj+)W=N#WocnH!MWHxz|YJw?jfRG)J*{w@T;& z+$LBC;?LaMuuKGA%q*=FPTq#R2xMj1tuC@2coguPb?ySzJ-`!}(XQ=kw}5>5VY}L~ z@5$Rl=Szf@<^IvxT6Y3R543|F27e}k#R?derT+Bd$AM=6Z)oV*z+D^_E`OV7n?Od7 z1+pPx1y>?`?XZGQAw(?>0q=q(t4SRQ-EL?$VipOK4vWt^UJq7 z`c~lazy}C3SoOmQ_}XMU@SDA2Ab5TP4#V$M2e-*sUF&xQFqaQss*J(jF7$%&Ybbe_ zdY>t3?X7Hkz?Qg{cI`qjR?!c;)WN2cuiVPkpJ(&0Qp|3!71D;?Ja7Qyp;^0;vk3YI z$X%O&Sn1Y^b`W?5@Zln7i3Y1^yN&}d=BTY>PJ$dO$n}j=U?qTjf7fXTs2%XAd@}7p ziI&kldr&y3Sm(n}Lon9)7~V^-F&w*|_VdqN`T;*jmaVUEaxL8CvLCiQkGl0bu5y)$ z8!*P#{1bT6ZSY~hT{Pr9(2U_Rn3we}%Q;?1%AU zNlicYf(t(IB1*K73?*2&;`rS}&a_QVOdkfixtNB64yC4*sCQ%7wV?zKpHH8cs5n*i zeTv+R!BSEQrR~KuKjI+m=P>pI3fYHM%J`3J#d_fP^XPt@gc6$T#xx`dDOb*;=l5a$ zmUx&p?o$VJDLY&%R{mH8JZxkh!I(e8NVX{=C|TN$XA|AAA6;=Q?(A*FGq7G+^x%GV z_)}%y`e&aDW{(#I)~)WA5zUvtgMo)~Lh@n$bHJ6`;B~;O=*)h2GT)Z%rLY4ir3@N! z0LU;P4*s(>Txlos%mz|Svw*ZI>{@rr?)Xh0!-^aspT1!c$ALKcPi^4LNZ=I~8gLLI zk~jFj&DSa{$i>w6AP-wlQu0A{66TWo4r(pX4;U_tLx4`5q zyu?`;xVX_sUXnJvA(vN&fwt9yR_e!EUkrQL%q&{=0k7vme=XwrEUNv07rW8LyLGTw z1KWpYz-AiPp)4Bpp=xRlCQEmToz$@Qs94iJgi5w^wE9EMo%VeQck<9>prJ{B)cTqj zknn{p>iiMbp{pQrF#{p<<^J~;+lq(;UFL zJqb3FMp;!X|VL6E1#ih zKM9Aofuuj2&Hn$QZS6}jWoqwEw!Tt-ZPJid?=qasBJdT{f-($|qEe}#3^QbFUn(tA z2f*E`%ix87{uH1IVM@gCvc-K zx9nxvav|`>=W5T9g@YsM_Yc_B%%{l_@tW#<(}&@5SAdW-8bQl|0JOqkU#R2SD7T581@cLn`vuz|g9^Uj8j?Z# zzrf}Z%iKD-)4>p+Bxcs}PKO?i`F49-7NviQx{6`vm+A|q;G8<2L!_wvuN6(B0Gt6t zF<+^@+t_n)b8`cF7U)R#D-02mmQvAISQbN3;3q0okE1}NmQ(Ukb*!myMV;@hnf9>pu_h*eZ`6p0kBsuRaaWBQp1|7E^mK>-+y4*6Qe35qXM=GS1iuclob zMy;XBuaSicYE!OuY!kb-&gZ--6}Ife#sTn}@gU!&yizCL_DYtP2$zE#54<^JXr(w{ zgKq+E1>TFkT8VGRKPXrGMVj+*?=drIBkz`FSq{taiQfD*pJ*edEn zukTsr=hNT7$(dOMJ{K+cM!h>G9^{+-fYmt;vUOdZ{vyyk1@bu;7l0?Nqe_TH@6hxZ z7xa3HK868K>RXg~Ozqaj`GLPU&c(st041099mBF^(mNFLEjD~BTckfM!bTx;k>(Fw zTQc)cf1`WAHQk-KA5k3IOIH(}_!j+?5tnOgr*5?btexxB>o_0J_gkm);g!zkkK)INP}xDLBveY8>xIH_B$@1ZHIu5e=13LB{zBGo1oPdW!?f3dHcVH=2 z!>@8Nq8aT2-gvA+g5%;}JmS+!egUb)A z*8e|98;RXqz^v0~;1O<0_+A~+%z_KMI|aaegJC}GMQlYozgPQoGUC4O~8m2XqJRJjH`uu*q)FsCR?sKrW{! z+}c3g2|N|}WSyCL@oyM#Hx2m_sYT%;^@R<{vq8pmA7n$+x0LrIDr(Y~bm~XEd?^Hb z1{eE-4r=rhW+GKz)oG^;2c|fv-%se)Jx66fKgU7y02pGwq5VIp17h5Jygsg~a7d%! z0pY&QT0p^X>-=5?-wBp3z-`}BgM)k;`3pb?&Eg=JUi$^^?4WOcQTxOx6}R@G!_dpb<8FCYFtFGm-=;pVRSb5F zfp-Kb8Rsa@qju;S^;?~96!RU_`R0Iccqm(B6XYa;-i|X@=AeZhwQJvsowqvpAqY(T zqs})ArKCUo@yAcV_tFm@^hAl36n;h>kWzKN&hJ}cc8w|gR{cVqSQ?P=8P5V91w2Ax z95B8XxTOKk)pslK*b7v22Gztx-<;7Js6WqOTy4E5t#MkW^*t_KOsA7ULtF z0F#Wcr{|Ki%AY#FunO1CFVVdky>;Rx)^!KHdlv7YJv(l-N*x3z1>Lx14%-sv?j-_m z24VWc#d0U`h|3iHD;oLK%ani+6PRhgVmO#|m5%(19R=8;Q1dIsZ}6mZ7%dnBDdik8 zyO)-p(`IBJBQRtHQVr0?N>!b&vw`o~=tK|vhT*WMx=u{h0vFJ{-_+ruu3A}T{VQPU6*#y`rkUS0aqJsrpV@7)^E^lpg(X^JT9!qf#(C) zRu(0V@!7!LH0yU>!1Gbw@9F@P&F4SmX8-*OY)L*k^*g?dFe^8x^B?N)Ho=W<@Me$t zhvhA5&wbm1Ke#mm87^D@u=;yI#tXPVP@Pb7I4GveA_%L%%P2SE;Cw}VV6lMitzg@r zgr*^4;D`mdbwX_)6JK;|5g+ORPi%a{_v1~D&o$>K;PK7rR0Z0SDr)*C3a6Nc{E2ZM zYCV1#qMA_ApBVk&mFb`A1KEjTNWETPVbI6TXs3YRoDV>uP7;61Ig*Lsg8qS0U?$FG@QxxJ*rUb8tAO>Ba&{IARAWn&H2E!1wf|Z z>5qS1fP1Oac^ILL9z72u06`xg^yu&1+D_!l(| z{=A5!E2!yTNIJFyMgOHO9gh49)hweM72&5L7#nx~;tf3bq+k2piA??ZV5^9vA^9|oBRwr`-y?aURm3*?x4PP3ovvh&>xxm6kiw%##eh-8K#KVRU><0V2 z<*y~$@v3y|%8^%>i;A?PBFS^9UZa2hU-{J*y zz>7V+g&X}7U^85UAX^k&@uCI6Fyb=ofUX5Wo3h@w`pu&5TtOFHMg>jnK?Rp#rb60x z86{Ije_qCmx07_w6}5X`d#@Y5Nt&|aKh8=PE&+(e>etPqIj$FLfn(A0irOdJf+YKJ z46Q9;9~&O3A1!+hY}Wq|J+KEX2>L*d-(WDQwN;?o{|`E=H>^j10jljD{5&;Ew|z z2He{B20i<$`e@K5{P56*YigfJ+sGR}3rE&W!msAU!&$lpHv33+M^lrQJ(2x>H>mbk zwQu9m_z~Qn60XBvqwb>2>u6Q5#Cu(CnxcljA zIH`O)V!@gsJ~`F)hI4v*a0U(9%fI0xSl|2`%YPa7Q_bJ%eQnBy`?pDKiHW_qT*v=| zM04rmt2m-)6AL0FFxby;Ho5G}9y{&(59{=^N(neh)tGI5)Q(6^Q z!jV)^1&>9u4H)HdxeDJcMUA1jYGf5-vud7!JWQvmAv(U`)?#XD;el*e4VvBHBuc1Z zO_C@LVc(=DZq;NH*b{-@++MOp4g$wgZ4IlIM5k(4wMQwWmZy}DQF5)??IGpyTdTtQ zzKE9Zua#zC;ECYx4bh^&_34mkHIIXC1N|bcKp#mLYSngqBcK)!*0@#XfSs&Mj8OMx zoPBs8@Lam54#R}lNt9Lx3&NG_Fat8D(Ahd|)X?+>+LFX4Z}{eOTXF_X>J7EmkbK(> z-*rd`^uawIsowzoq23K}s%!gU5JdjD(uQEb(X2zR!8*C**bO!C#cX##KuN%lji=)5 z*(O0-Ix6Ry1TBtK3WM=3VSjK?aa-j#{_~&Upw(t&SJT#ta-wO_m+h4EAwX}01bNyj zYxr{Gx0?m!w^hDv_BCADWelo~RNe{;+8L=F4hwR0R&v9GKJKWv!h;TXRE~xRtuQM; zwa8SIHLZf~D{HU3+bU>rM`ha`Llk9Q>mY9jWoerq8!5`~?GHiN-;qliD@sm}po2Y> zbv=S|qXWL~0jJK74thOW2`Jf}WxVm9_ZJ&IjY^91jD?L1`A?K2uQYzxxaU{%$G{Yd z(!3RaW`VW71>$#%345@9>3K^GZzmtkKS zc9mg28TOLl02$sR!wxbu%P>-eCBM9C+!AO=GEv?-WABjsCq%0GPf+PP!b?j^j;uGnADFGn z*HHOiBSls8bo_Cnq9^2!hbel3{&={eC+v^6P>S>H43+pc7%vAH%r$i7En{zk?TM1e zO~%Fn1{ZHzGR{e`%@En=;VwU+fr=6U^{g`m4yVNK3L-GB<`M3Qu(&Ao5A47 zED0|(Ha8fonI(}$#%BUdt}G#HxQy~ebCHBHzhvXP#-9TW_63x)&3M9KT|mj(jUy6l zIU<7A9U)Rm3lYIAajoYDULbLgbafp+CUMsSs@!gzV{k8`lpV(2367V9+(A;28Z;)H zSF^>cA+A>xme^7plo@g=@k;@wOFcdVq! zovg3ZKV22?HZ*-DuBSUs;>ydkdKc1ltfniwU_a{`5&u3Ze>1ugP4CtIa_c1Sko>yb zRE+kS-@KO6cC#H`5%F}pkLe)gNnE%4UWq$irSLt_$3v992l}}3MSQ(Hf5wh4mT#4~ zF1Mdq%9Xe-_YH|VUZYBui;Juh-h*8CIw4p0@gto?e4E5|yLXBdxUydG>vmr$ao2h( zeUHoS4T^jpay@SdKHYu?I*a%YiR*q8)-O7?_@IK?CDYke0m1x;hM0OSVEAh0%70ItFv0vwxxUNK3jC(ZWZl#)i zFrs@KCGUq39ozlWOY0?-mAEeVBZ<2uuFH+?E%+v!rZr)4LA0VDob85m3EB1N6P<<&VGc>#`YB@yNxRip5Lg_jZV$^2bF$ooMUqTA>yHJ(4JBV zW_h+hXhNy6w@IlGbktq#xgQ0YWsY2zox5!uS0 zB0l;w?J2j4_zsEda%&}SZGd+}PtWODDuo>MW!m$g#2pgvCGmL@cMCZ*^^ozKZ0p}5 zGG0k(&nQ?)%S4U94`JNP&!ZAI|10pHBtAvrib0I7@J38~>^grVf$RKPI)4)lZ=p;N z5)qb2f}U zai_#*Nc<0pE4T^13{}~ZDy)TWQG3!*2RXCWY9Wu`uJf}*;_k@N+V6+7|IuIq=hDMz z@!_AtMLko6JPa$eCk%Cy>F%i|$)6jK1|_)vD~hw5WVrsIEdDtH=cyq-Q4^(LiT4z+ z@`%J8|1C-X+W4=*U|m>}evC(z=JJw)Mi@gK>nR_yU#kZ^s3?@`Le1gX1FYI%PazGXEu%=`0g%fh997mw(a5 zA5l>glT`<@>3;adtE5A$JHCW&->6=${ z{^0`W2{}I|lp`y$P~tGW_6(7@r;Em)t^6(pjgf>b8A1A@GF^{g5eaON3eA#Gt_<|Ym+5jOo~rR@!!abmHb_Je1k9542+;!972T=hl3!Qopu`>h1b?1Xv`pgW zyZrHQ8E?-XH$V_9(h{d-1nck;(=WzJjbc0>BxuU=r%U{-`>(LA=V1{sQou^N#Ep+o z(OL9@*kv2?D_UFgdCK|K_*a6Xy{IY&bPRmLYI=CxP>~0{nEAxDgjL zpF=NWe~?msGj7gyO%{B5RsU?Rh;M&d;CS(@JrxpnJR@+tkkFnxu)LrRZKev`Ez=t; zaa)?eUzYsSC9X`@@N6YWT5hc*7-xwHGi8L}c_INvy1)m^1YVc8o9_X`^Q`1A15h|Ls{F~ z0_Ti+(uCffr2GWQU%t%B2>nul{?L&wBDV_^T<0Z|YmUInrS+OSL}K;~f$PdGkho{A zz;)$XEfDQ#QCx3;8Ef?|Kodte^lgD#V;;t?N zzaU_x;|js=>?&|Q0|cDYGv^BZx=H>clHb)sAsC zj){%-6ar^YP#zZP=p|`hBMl~Ty(AX`*E~zep(fXiv$Dv4hWN*tEBkr5QhQ8-!fA&%kZ`51DP{muQ0DLA-mC$#%q-TN722f(??7zkn35hEOy}zU%+9cw; zUiZ)cG2k4}+gs?b`*DTDiwC`82peIr?0Y4FgW<2N4x421tQNw1Na3-Yg^=Rj6cP}I z<9rTKTmabJSl15#f8uVDs8RACct;r56-PDTH)Y8=7Sz|C4uwLV;~pA{)Kkju6v{m= z>4zlU9BwIRC2oC7@INo*G~FW8`GzVDVdy~j3Ho_S{|I#UPDM8N z@e=<=;$@2{vr!ntl2*2>MxC z3wcmmd(LbXe6C=j$5Rpy+$L~i2Z0}ycqibjpOGk`aTsQS9Vw(q*k*&nMY}k(;t0xM zl(YS;h!-Z~Rqc@SphqzLLRn3QreSjo#)l}gDgPczMF>sBM}*z`$$0&Dig?bkbcOjW zgDEnE`CHQj4sAp^9C|ZpR|x#fx{PW<*e~Vy_-QG}w@b)TdQy5Q>}I#pu~5V-FCjxS zq-$L)nk~Itox5eZwWNe*kZbQnt2MrLLcg9;?%+Lw4;NFPY8H0FU|vkS{u72u`=+pS zf-H}-?+LzgY@KV4bQ9ar(B|MT9zZ4)@{Mm&iVD51&Zklp^x`vgg+ohk+$7EV{Vn7j zmHv~zSCm8S5=t|M%`ufrKhgUG(>{@3dvmHme1r3DiVh1~neBW>=+EDc@Y8O;;B%c8 zI5ul&&p?SAH;8m!k@zDz|L1~VZzQHm+`LNQAwrBYPvYfwYjWuB@UW$Zvb|Iq4yCP! z1amXVy!e1HlJ^&y&;m+ZTT>2)#ph`khe|Ix+X6=Nep)iLW!PA(QH;gK$gp0yi%zuy zA&MyO4ltV2OVaKLL)&j(MtQA4aDGIUtyw}TnIhn(I9j+g0>xyMZF;EGpx+^34$B;h zYy*0^>?7t#dbytGPHfzoR~ymR^@IP zzxs$QPC2SI6es`%_#b_+9S{!MY-kmJ5F4I?4gvQ1~+K z;?P)1XCsjT?|F*uj10SNRM{DL21XxU5W4TCgf1}r&mYs3E+{DH%R+91)Z>xkLM|>+ zOzR4M=VmHEXo^@O_?k+-FTuxyhvMJpY*)xB?=R@chW3p5PUhz!O6~@IoZD$*H^?y- z_@{RV25#J6*lMVf`Ru(ZraRhC$FEe>9lu@k=}LF#VgG|7qryfcIBlXm)Afx$Dcfy1 z0o3tn*3EXxPWwPVlvme1$)X`0h_BvM2Z~MU>VP`nZnL z&^yCEG#TZBQYXnj@@J{{Dl+v#`5E7)(q6EW_bA2n4jU2UJ|gT|Ecv&3gdVmAgS!ub zv);z?l3l&So(V7*hmgqv1KTh&w}h>XvB-gpZb;v+B7*sI(Gfo-4auMRYli%rLi!>D zj$SkYVT$K*Veom9uhVZbeu}_*H4+*Ql(@UCFw82c_!GdnBlhs!=Xi8Io|F8R_oxQx zm~6!&!+M42`nyQS)r*p2FwiM)FX#iM+z&zLf-z4Nc(BC3151p1VGqzL#5a`hp$T`x6D)F` zsT;1(1?j;#LO)%PVZd1rydXMqcUbQfeWOXBlwSlor(gcYXbtHr@iS7MlILFlmn6UQ z55YfF@*6J-Iqpv=ITrRRkEgs?6reL%*!d;N*Yq#J=QvK4vB;108l~KW7R-r}Q5@{# z-cD(8+;!YdN8(@)hfGJdz{+8-h;Qjr5`HgtqON6>G7tq--kh!sM1rmzLNWdff}dko zWdR+g34@TJ`Cd9Qh!y-9cVvOT_?+OsF4&bA{J{os-$lvyfzMJ+dH2EKN&|=CeQ8|q znR2a4cZlYHi};E6kYO+~lsK3YwD2)nJ(!!~1|B>9A0fv)i$bjE6YZOXK50^)MpdE! zZDFDyED~=EoYQ~gEbT%(gV#vWLtrpP_KmvdSAmc7HiYhs@9HNw=VUw~G z6GZ{VO1WoiM0)l(|Mac_*BrI0;D1!|tF;363=w!o*&_6nxVxXg^&#;{i942Q`D~%> z%Vt?m=!DN{!u^;Nm>Udk#@C62jMY?gKi9-*!cYsOB28}yy44~QjFWf|9dAL&!%(0$ z8|4iPJ7IF46B$Got3AK|E8;u)Q|53KoU)2ahog;Fe)Z=Yiv5Pa~Fk--(GT!F1}mjUoYq{fU`W;VG2)xqgmUFco8yxTkx?6>w&RHUIO%0 zPLpXA`WX90DjkLN?B^+RG&}73C26D4XJor%&1fbCTx}`@80CyyFVTPyfh*x6L49rI zPKg`8Owc6!Bt7F%iCYee40M&a9XMyqIb=BV)3~u=Jq)f{lsOh!Ss$d*u_*Q8i$d7b zQmOkxMdFqQGr*}5cdn$!abcTH_Vpq${nbq+_N=otl>6!IICzCMnZgrMeLP4$O)k4Qq+TVeh-a2FLcRSvDsiJ+ z_R!n4evSW zd=eKwUGQm>rf&kpB%?!iyeI53OqRq}Nq4rQ(q!o2{)Mh2!w%+wxFiSp!_fQ4W56wk zspc`Xqn;{Cf1Ilij@o=2eBNR*Jpp?=whOt3ZanUk-3ikuWr5SG|2@!x=J4Xjt-`j99TZGz@mUkZ!pmNf&{ zEWbgNxZd#hlekMP71NGsVLeic2MD20%EXpSq0Y1ZLO00BN)N%WuZW-2`Q=PNSHdfC zYWUZqZY5bT63cc)mD23^s??ry~ z8Qb@uvwq%9RPtFbY4LG#7n{t^xC9YMLO0;$&>*;Ya3e4p=T3?%;n!BC}%G8v&do70GV#|P!SK? zMUTvdepc+D%tX3a0?o@r`B~+-GFI}p9wzuL=P70$%FlC+R?p+51UV(|Bl)@vm*urj z=vgB1IN)rL@_$7Bdr5qPM$9qut8=s=X&(cvsFC6o6416ZzD*p!PI_PYV z;=vTN0CJ2ml!-9LK3K@nTe)vW%Y1u;o(~A|N)2$%pJgIlS%C8M&ZC?h$Z_|lBM42- zrT*!~jumpu8!37r+u=t_UI>2YIoh}|?5`Magy2UHqdgxd%64JiSnYR)O!q6`tT*02 zl`cYjM*)SrgnDb-DfF(Ce9w*-`Zb*YIe>F~+dxWt33^*_)&+;&eU!Htdb!8a{>3b} zoD5447TYLc2?l`97D7LL9yxHLkYktQ09B?t3Aomt$(0X%sp)y(Y%lwr!j4YKzgY6e zzfPx?aDACb6LOIsr%~j`F6;Z3IMilUct zd7csJrAYom4-5S~Z;AZq{r)$=H95U$BjOn>Z%`$NHWS6-L6 zGRg$q;BH4n99kFADTLYXI+5;EGTkMZHn9A}oMgjKAZMJ>sa~Wu#-iOh-5@#{18g zVLwkZ!M{Y>Z)l3pD^;#*he$bzz`3x!O-eSd##qi2C0n0R$uMJztPPjx${Kik@mWzY zdTYBEbQa)<7YXXU%~!zLppI2EVJ#F(Y)m<8(OTQ(df-JV|M{my{Bo;^f3L)sN!)tB zz)K~*8#v1iE~eyHAlH6`@?JrKII76-DjQ-P&d3it5n~_jAMf;3k&lM!kyn7T{?2w3 zM;OIeq}R8S>7}HK^c1<=Xp{K!z&W1sEgeBTlT|K=>yFlGnxMPxqM`Ym|J9U^&}6+w z*iSZ|N~Pq(k)r$a+3}W>;Wf0%_B2r|H#QLo7N$vgiInmherBOkF6x6fESiK zEur*vEZ_~=zYfz9r(84$mI^n0P6%-Kp@j7i;KT-dgeLC}p^)yu&r81Iu~fPq@|4FZ zb8jH9!!L*e4R{&KE^t{L_V8u|vB?ZnG;>SJlh3P113xSF^QL-76lq9@#lcEd~+48hCG88`#d;wXVaB8Ih`dG=R#P# zm2wcqIIj!2B;yCn7U?;pT-{EsfU{hOg=$=oSKgSSH*kycAmweqZ_gRp&!PJPs$|@| zm=ZSPxAF>QZiF4Yav0xUrZatxke3)ir#8Y-JORQ^`Lbr7m2{;)O?V6X+PLt6?N3gI8+^3A>e!eA7(7_fa@pHTwn z23HPc7GijA!?0`%(upddG!9$YXxA33_cVO<+07yCewEUT5Fg798;hVXrtLBBqJiApzFwUIyy<+8*eiI*P}{M}`G4@o@nQ!;Hw3v2s8#EX_SIp`%J&n=cy zl{qrre}K0X}2Oz?ZU z3CH|MX6Px2TgFh{ZeHhTuu#@(xrpc8fCG6U-@E}Q@FGLjkyOedHvWga$6e&l!jO8` zRlP#Qv;9Ch?_vH>_<=}QpRYx(5(VqXq3HMFfKIt3!72^%U(mVX@fJ}!)7>8ngNalW zrL9xYE!SxO`_RL_2`AzrG`FUN66om~Lq#R9i@hD4D&cu0<~@6XJ15ZDy}%PsQTRTD zwrw;Np~)`at?LcMyQ_tKJ6>h(Lp)o1s@#VL#wB|WeO{QpM&!e|+`nOT0Oty%^rMvh zC=dH+LC=->y9BzHKe_6mzh`K*R>X7RW;?_)ndLZdsEoH%(u*IWkORoCF=okx17Rf- K4umJX^8Ww}eFUrk delta 157836 zcmbTfcR&FSOxQ8dpq!5=1~@0R;sEB8s+Bt`XOq zF}Au2m{(VKF=sJ57%=C+t?KSUc;0)z`#v9kc;@^noT@r?LZ3b}E?@1xe6@d8p~>4B z78?3{J7d9(ylR&gRF!L&76hoJxS6|QHK+B4bL(#{IaX)#q^8>gV?X`~iZI!|gl%ZW zhBw&l(`J#0pJtrDMnCFHX=y%(f_mSNrKN%SzR?JN|6W?E_x)O0n&GMUK)7#yAA%nf z?4iK5h}$=#<@CYsntaVTu}+t|wZ=u5Y0?TN)jUuz#b0x9Fw# z_aJd<7bkW#PR!|I%kq=NgI(IN;$`CdF1J{n5b{8mg>Li_7UbrV>3>BCim|SH5;+Pn90?S=SJ8We?f9{XOQ}`-fm;0^g9zJvt3f zrk&Eeqcv!nIJ)P2`vJtT?zO`3NmhGGKjWRkP_@@C`{BfJ^_9Z#N@bAH4$^R|m)!2> zUb|Rmh`7zq*3sbczb_Rx_G9l+ILsHwZio;4KCze(@s7XkU<2#Q5`{HhWxX@MoX68t z;%t?8q&#tqN?f86*D6G@cR*`qJZ1OnfU#Wbt8r+5Et#>u&cQb`NoSmQ3j1AO2{FIS zE;Lu3!KtImJNPE=2evKi`f5^^(fU>&Jr&N&R~6m#9@8x zS&M#RLLVRYdW3kmPfxb4uUNTnYc_3&*r{)4_BKhJ)z_O@&l6Agoyem5i0%8eGnwR4 zMed>6d&Oz}?z0}l#j*Xnvz)Wy_Wn(5rj?j!d{d9x4jq1sa(kDrlH0HQ{}Je!iVmeY z2%0zM7FsJ^70t7bvZwl-DJB}<46jDx-8JL`x_L)G3=pF@_@*DzuX1C^&^gE#2UEY5 z#&YYf0pf!Jn1AEMDg$e^l?S4Q-Y^h9JXD6(uifS5vE6r1473|6t&>6%M-Hq-TBaDZ z3`R=dL z_?ve<-^IM^wP!WV^V6yYaRC~ydnh>7xXT^MBQmV=yQLD-wh@auLD?Zgz{-Wj7_5G=kQ?C8q( z4A2DXr=y*|=_NFa#o@=iF(Z}Pmpt=LFOp{(U$NtmMXnu@a!SA!aQ->fMQ4nqM&I8H zhY*ef3*Yo79v^(u5!V;?7Jm$BnVf|DdI9PAGi06G_%7}m{oD2k%vFF91l%WJkpgrh z;5-4#6`%uB_ND| ze-!`-7(&2R1(-@e4+4r5U@QTi1UyjyKLQ#M@Ja!i6JSHYXBkM=)*?hp2ses?s{Pyv zfp_f?sH_0j2zWq1H3i5g-~s{m3b3AlBLp;7fJ_2%3Gh;YSOQiN;HLmX37FR|8Ii#X z(ut5M#4<(!8W9jqzyt-bAYdo~@e1(K2Z5dhOjCe61hgSwjslz@pdkUG0&FFq1_8?n zNKV&^gj6DAox+kp!27lcY*T=d1Uw`_QUG59E)tNh08I%vO2BCaupwY40hblvyEg(k z1l(1ChXl+|Cghod{7uMI0zN9hE&?J5;6}?`^E&~<2ryNE6asn?V66Zl1hgfft^x!Q z(1?Jh3eb`OTLM}mV22%UPlypAT@@CdfDdg%-(TAqy9DF-*we-g?mZA}StGyQ>wZ1T zv7}gWT+pOpb7PS>xHU?O_z6|r?ue4U1T0m6Lj<%YV6_6QA)r118x>#<0oDZUP=JX9 z2(87oBRjC#acdGrRx!Nw zo9H!ufm8Ka2%XZnQ-Q1Jh$bZ38CTLlw!WwMtXX2o_#mg^D8hFxmM8hYQ7j59^|xTVFjiU6`2YbiY3V8xVQpSkxKcefZB9prR*Uj?QS6`5Y` z6HAcEwPLCi=_+V?bwG@a336(GsG_EdOi_o#5@gz1F;$A3D`+ar+Z`FZj@kO*Rzk0< zg9By&e!>y(i6*h?bwt;=Pi_Ayq4DN~g1C;l(;`}NOz_r>*8J%5p4fU?g#5M8W#!Fveqx~J#L4Mj}xAwOs97Cs8 zC5&ShM|PVerg3c38!;=XU!&YN#A}Dmqzu7KP1gp|JkptfX$sJtfM#~0*_3#fL=m3R0d1Il?57RGa6%_Fo~jyeRZYpE)DtplTirRxp#8t_) zlgFy;OH}q%Dtqp`|74dv(JG$wq`AM|(Vqc{QPpfv)ofSQX#S6COufW*Ddx!$s_;Hl z_^>J*`1b!~!&zEd;K)xKCaY>rs%rjG)nvW-KdH%VFP=slep7`{sKVz|;lls-9#!KI zKsHn^XTz_mnk%ZBJE|HBwX15v6lCPvn@ z+AgD1_9rU)OO@SSWjEw9_ViU`|1U%y6~E=el)|6;IqnmN(v z8?x)uEX9K}+ZZ?c6+d^eGy^wpGds6^xbMiPy*w^z(_5iKld3Cw1Sk2qyuwa=j$PFq+pK)%5}z1C>Kb9SVMrn0<PKmh?F0c?aEq74L0C!neV%p+hT z0ksrh5&=O3G*p0L1oS4rLjgJy(7~J@&)X=73n5L2rLzK95@1h2F9rD20s&J3`YAvW z0bi;j@T&rxB%qjpFa_8~z%>FUDZnBEP7yGT0DL@8BxD~Ua}}0R1gs+f6rcwIiwIbw z0PX~&5|FC^H3*0%;IIPxXpX>00!}HwBLV^mC{%#61a#!8ilyl-jBSE23x%rcKJ+&y zalo8NWBH-NCF4m?6@wl}aX`k(n!O`w zjF@55uJ{Rce4?hCo5@@?Ob|Fpz-kAv$=vLS8WWH^))cv&@Dmc35OFjCjTIn{fW8E@ zR)B#7_z=)R0lWxsC%{($bObmO5TF321XMK@-7{-irPfCL#R+-TUTuc>eG_Fov;MWk zgv{UVVnXThx+qx3(MkF#?RETwA2fOk6VYv6OJmE4X!51X>Lk$39@W)B2yLH^oceW@ z|34>zTK2p5%nN3fvw~>PdPEbn+j+rW#vYoBH^e|Ts=C;CksFJ)**$F0C9S&5@0F%$2t?ZcL8#TM%l zS#7Pjb6q@JBZ#i+d+^3cj9c%@Tm*6L`WCGJ5AnwOu524G*4g020)B`=8#=NkJi28g zN*fx8E7#T(KW=EqwlNuMy|Doc_$~%*Y{s`mBNlIL&c=Kb&usMOk7DChHg#Z@-$egS zUVJC4W@2^0SMeNHk7BD;HY4?`=)c*G?}OE;n_YMvmRmM=ViUfIFR^g_A~xSLi20O? znOj_$RjGJzOJ_FXvsk*N6SMm)(>#8NE4I2a;fHu;YiHK`lW4xJ6EpoJ)9QQ|w{3G} z@4ksQwsmG5KZ=gqJ8@sdW?Kf6Rfo5`vWH*Ar`tQTrXR$nJ36sv?@??pa-7@Y$}W8o zzwGGD9N&u_b33t{@5I#H5O)8w_&nE#HGL;G-PxYKek(@o?9OaIi~Dx^Fza{X=bfJH z=3BA(E+01NlQZZCHKgZLc5 zrmw}Odr;>qF=EfJjQb#-+vCpueJ_?`vEsexvDcl2y%$ICZNl2W7Z>jJW;b4nm-Y_x zOL}Rl@wkvy5bN)ok)&(r@j*Xi2@VkYEWau9P3QH#Wr*^~-c_S-X@Gj93%Wdf>uXm z_u@Y-aLN8p3vA1fG7Gc@=%)p57K>Z=C$rVB#U=;b*@V~P2rRr_iwh38S2leuTd?P$ zY{9Kp;+q5BEa92h;@~hp`)B`Y!58sAEzp-CWfojEKtC-wDvGxbj%JxJMV~)?n9EZ! z?a#KXq*yHYvm-lKB65d(*!W_x%b~W+tyoMsgaf%mJc8h6y(k=R%Odrn_u($g_k}p~ zun+617f&DdWTtwN&+}okpNrkFnDInR&+}xxo`}ctTC)q!#BX_ZSkY3^F~1?3@l5QI z-+~oA5|i`Wv(=Bp!}(t9!c*~Een(d4k?2)0lvzCzmlm|LZv4Bc#xG;7E*Cq_H~oZP z`V;-8$Ks=c)-1PJbU4zVwS6eY9%;{#9*Db+crmXB;_D+_S^Wp1=h1%b{QcdTN9POn zy~<|b$&<=vV7r^9n!M1FqUWh7)_yq{vNsGPME=}BCW1Kh+;43Eb@9r%ZY=kf=iZ{Kws@?=9kQ+3S2$^z|sdKhnQi zynEh1EjV^?(eC5_CNky~Ao^TzG7iM^a9UEtl9x5alneE11&*a<@RYt}Txn^SbUXw* zrEeaB@1sJ;iUk*(YsJgw=cn{LWwQLb>O(M+qsQ+4e&H9!lE#VyFMF~FW5uk?Hnnn8 z?h<6_qP*N{J_fnJpt8%giMi;qXX6KIlT&0axyhrWkxP%##nGi0=ZrL6ATGFhDA4&z zFZL&DzhY-~3FV5bs&rqKo{w~KtY~)Cj%^t$HoAI%T6uU?7V@~J3Ps?J3Q}qi3c4l> zZM$Y?wuO|w{)Ww?+@)(ic4VEh=uSbS6eo@aA>Dn9=ziUrp)cL9H)>{u&-)5n-7>aN zVoMpL1k{w^I~3181f$p=*LCdL81b*`4Vdj%@%43g-&UyPSg@P9V;b!)G``bHquhIG z_IE@$)&px!URb+=C#$i}MC*vP&P21`-vbMTG_8AS4)zNc$K7yDrXvOOv|lkUNJ#nU zt=Ug!eCBC>3KB&K9RQf8wN;QHLg)<6JguRE1QJ5WY36A*3gS%&ouini2@2v)2px`? zr@c{Zb|Pd{vJBl-APa)%Xw5v0-szI1gwW}kdDwq8OM>-{+jB?ucixS2ki zcRl*{Sa5~je1-jYFdvEgcL$3dZ`Cv^q|bi^iz9ArpbI+2w}Y6EtvLR66kU3`b-N9V zJH5Ncol0C+N&#Ol%7)mEzFw3Ed2${!LlDzomo`sM1ZR&6zFw3Bd6W?5i;nuH9yL~w z{g@V`iXO98!D7$5oobQQzFvVCpjazkFS0t1JlMZESX_VC-;6BH+f6`zuvmJxrO{vX zxiENlyL+7lb}(E_dDO!GI_bcKzB>?y6CQHo%jc7N=TDg3V)E|7N0m7yg@`vFXId>x zrp<7XzVU$4(&E2AAVXe=nDoSr9S;$=K559Di^MBWde!(FZ{!qDM|m2XcjY@hMS0o7 zMNfSn99@gIlZpMDP+*E zmuaW)ExSIfFWO+xHIH;Pk#(i%8Va;L6y=Q#7V8zeI>-mM=wcV*d4RWvGPdeGRhG

3e7|Hc`@Wu9gxmypHtf~Ut8rC{jD6=sQz7Pl0N$QL9wkLz_fm-ND$zqBibr3yY_oSa z=1C)Lf%0U5obiWrOE3fU%ZO4(rNpUYVYWIJ>_SBM*CWfil~-GMMN=U*-}yNKqm}A>z~5BWX7Ae`CjxHvWyBqjQmBp?OctuI+U*Gys%Uj>t+Rss&Ec7LHNxCSqx4O!H z_pJ-Na$hui=fbKdiJn+g4iU#-Q7J^s!ony-JoL`C-L)MUny>PlbE0?;jz!;$L%P2x zXn90=rBarvlq{ljaW&E8Jqi}h-+QrJ!D7IBJ65+y411s1=(v{&ZjrohpQbGmZe)(? zn<>cOi1?)!`=;L@8}SW9oey=`({^H)54z<33QNRKp`-0l4X*1M;W+(e45Pber|2$Q z@$cG;P&pN$|D$e~`r?+gyIX~;k^vbI^>nA|( z&x%ui)+SvwagcJw;teaCk@MoQ_uoip&tXHAB`_DSgT$*C1vUWNN>uI|gE54~-OSd8T!)ai{GmG?9R9#-StuqWtdb*^3IZMb#S_peu43S3KO4IWn9 z_!=Jc@QZ@oRKX|`d=mn@thjeupg9CgmGj?k?e%YNC?iSun8{DlyAal=M`U z(E6T-nR~Ss_mP+j?G#I@*mE;kn|ZL<9+kbwgnRZ}SIXdqwYdP|i?6LTwx>3ih#3tY z4qR*52nQ~koy`E|h;k_z5a7tYby!MAkZC%ahL!9~)6t%o{`5t0Fv1B_DR2%e!O z3v(#U$BK93)Sf>F4RhkUJ8e(Lj`*3wQHC6jmsM!uphF!T0deW@OC9VsSK`L>--s3S z8?zOCLB*)=!XV)4a#nT?CM!{ppQkbF1C^{Fq+dB3-0Py{eP>Hab-5V=4Pw55;&Q(R zip%pGpvyR@%^J!sOI;gs$DB;|6jesMi}ZfCp;`~_-#Qr&E91rX*j^d2I$J!t)F&R} z7HlY+{&{G?**k z*zZx$VJH{lOnYyA@WUVSTIP-GhrSWs&!>wcBgi)Qyx*gwOGCMCJc-(m;0k5Yk|6Z@ z%037i$vL4aDRU$@nmJs?GX;H(8>%k9^vAxL!*uSxnc+Goz4sERI)-b{ehUM?F~0v$ zh(x{E3x7v)-IzxtRG*;GCrm*4l`!}^L81FZapA}(?TF$U3+(bpxE;&YVgqKu=U6lt zqvJgZ$IRrJaBUK|mla9U{K;HZjyX!ODvqni;w3m4hf_(PWAL5oXHJ7I9?Q{ZpjSNC z)#Uj(N;LBs!VkC?&v~&)f5Uae*}Q+j={GK(?U@csf5Qkp!^kCYtpy?6UjsW6xax2> zfvY9-ZD6WaEfcv`%rqQ&BytVdtrUn(J z*M_wXhwN1DB9>BE8rO+qBOGAI3~mt9IzZ)_Tn~1zHuRr~y@P^Fsq7dIcW2^g?hy_( zXK`W7KOAPx!n&9Y`LnpStWP+MosFZle>kk3&4sXm;b4-E^*Z;VTRM6f5)P2g{mP=l z;bS`YgC%1*2a_x#99m@H>@0=DtPG4Ew%2(sS076$Y%b>}u&i)cmW38agu#_8E{$b} zOT!j$O*pnX95NOvgp&)AupwOX6IDXuB89MTkwR#{m}|$8?%%Sx4&=*$Y|f2*DbB_q zqA&h{b@XKlU=N`$)=Ly$`Yb^o-N(V4C7d1EzF`UXo@`(FJKDZ09B%%OV;=PmTB_Kb zvXooH*r9N!w*rHPHixZ1r;moi-zzvh`!^i+u2k@^D-pjOzG3Jpj;rZmgp=)zg4i*% z0v6)PQf9!r;Sig{1=%jilqbsmL_M!ko$_9V!}A<2&^<+_PKu>(%A6%?`b+(D88c7Y zg;_vS7gedCywY$8UyY*>rT@ax@u|v*U+j@NFUg#TbSYTRs}cb|e{f)DHBUCcpHy8| z8E9e1vyXtce{cbIuH_i6s0;L=a^;8%-k%p@(Lm@G=kATB#xL$S-7t2iy zAckuy!(C$N5dqF?xq)`ovwzxfU1fMh48KIcB4l8rvf=q!?4>agP2ZZs3eKHYF2$HX_582pF;vXXDg-3u)9Mn}SwZCpP)zvVK6PTugT3?;-cDH5J- z1^Qx!WA8HWh~D zVyECrGA$RkxY?0#CYNjO{L+^;6sKrybka}H`cZlyg;x6XvXvf}Ca0a8JKGuweRpyz z+4A@BW+%6q<@S(P?&4kw?Dj~QFL9adKqNTq=YCHrtanofYG2XS`nGyxJ0;y}hVtKc9`HKge4A>4YPqPW9cfa9@9dYUNGuS%q8 ze39;!oOJ52LhTO^b0b;c1n8cJnTuLiTBm-{c8kb_|yqRFrfKmmYBfoH>R|4hD=n&TXK8Z9dMmayDxz z2h1;Xj4n#QDhUJTO#wSg+hlob)W%lgPtenZI8XZXB(8ldZX#Sg!v)cz+~#k5-nj1$ z;eT^|X;Citn=4>`cckfOxtbi~rooDH+;G~SeLKgE<>p#%-98LEFL2{6HPlvW zSz8;&LF0=!8>5lo7r7vXoLoj;IRS28#O+D$1S#keXUN!&->K?IY6dS4`=x)- z`#3_C+h`G5*5Nj;J^Jxd!fnour#&g$#Xvus0E6%0=H=}KSaOfM&Te`^%zbWzv;1AZ zmP3D(dfa?AILkLPR{oNZ{^E!q_&$PK-xLP#?{lrI(ZQAe*{~m_smC~4BfPz!!vkD- z&7)w!1KdB>ih`RDa36#FM&TiMpH?;fL+p7hdp_cv+t$NvpMLX@AEgJ4$=4=WB{fSB z@s+pm=t`9SfDN6y#8TjuY}aqFfenwiM{GuvH19Fjhhwdx;NBD5B6~#vug7JyYZQ3v zvF;xQqx879850FLR1c4Wqk7C@^!&3PJs%hap2dg{i-IA=xF3y)lKv^?j^N9#ld#}9 z_Ugw_IR6}XzU{)YUvPV9qr2|~H=V`qgSI8O9mI|4$P(@eTNnjBU*fYHZg?-eav(n zsO`)g+4^Yc;LMtvY?cr6U+VkWfky*Y0~R_nSC$tI`OYl5@);!Ruhjfe3ai&?YnmPK zs-!unqrCE-1S5246sBRej;&$WqoG|rR>&SkLzVi>iM@=5ruCVw%4g+OL67v~`bb+? zt-}W5>a#BFTQnT5&*+2{Zq#RZ1{DL18n6Y-HUyIwvT81;-#UDSvbcs zqv3QDR*xA^f>%wLElVhpOx)N;fi0~s?Pt@PTLi)ad z{b-opnz>pz#!y=H*ELkH88ZFtQE;R+b7uNz>2Yh;pb{mcc?UKfdrcBMFq~-n*Mu(} zSvtEu24>(L1lD8>c=)hLc6cc>x$ zR&cCu3`l+02bMJncJyT{SW>hU(2vsf%@awTec)dvI}FK8Cw|xK@k{2r^zrif{kaZW8i57 z+fr#AvlQ#}!ibtC2-XMm(X1NDeT!xy z8x|`qh+*bj)d*PuzCk;ouX9G}8R>W|b1-6qCQAnYQv@yds0WbB>){r$=QJzFQF30it$7C3`oXw`xdb}JX zhh@DL%m+`%q|gK^J5VdaK3XOY_!EhWQ(qI|&`xz@n%Jn{Q-O zv6OaiWUm?X#Y4U=>=GHCw3VeWW*!GWwzDS8BTj0vgN5-754x7_VnbMJD16w3^F*sS z=(-zA?>JaQWsQN-gWas28S{+;lLBNM5(mKrNEjOjd#Ril2f`676XT%Y5f(`6=(;0p zAT3wlsZ7VQauiE34z^LbG7hxIu*79=IF-2E?WGczvnt22#N}=*m7C(=FqOG+V0{A1 zeQ{F62{yot9gc(J=UHGK5Ba3}iT+g>jo`=mPC0mGa5TP4I!H5i-nlqvd4VO8y}K?j zZ>J&jLju;si+cm7eD6PydnW$QL>YIri!6z}%({r&zQo;nyu$6RaBsv{x@Fw2h#P&6 zyo5s$%iK$t+}P$nmk`HT_+7@V$8y1CTnZ51bs6U>j7_U6tOu3Tt|<5)R}jZo)xU~3 zmJ_ck_`<7*V~lLBv3V53_17>dFowlcVhkH!XRS=nQFdWsjT);?AgPEF_n+5M%jGz@ zb)ChTUQ@Xyj#0T{Z{P}~kAu}WSWm{s!;2eifU`&*pBP0R@6n~;G_5$@9DfMnAkK%H zJXAOKCW|A1J2!FMp>Zv5q0v~T+)|obeG84o4y|_^aV(>5EBJ!jh+_v^-$5M9pgRga z?GEDD;o2g^u?#Fy@QFo;V*c(Ubc!;{O z{QV)~*r5j>VrX4eX>qVRPxO7nRxxy4di#X6(vq*PFIXq?ZNdxO8lf-yUf^Is+qES) z=U`GVEn#2e(Utv`-Jxuq{0&QNXyA7&F|%6zU^g+7r0S)tsVUn$1iF~;y)E11;4@6d zB-~OJ(ObP6gJG=+-;q@w0*_4i)|R=e3}pFURG-1n#FV#Z{A=iC%6GQxP?20T2v(W$ z4K2Git*n_*;FEr0YFk7K zqXzH5M#W2Cu;kd2XHu=2{92AU3Thz*Iqp*FT$F9cM^g*2c6<|RVT~Oh&a)Fkz|xU- zvH1;`eK`Q;j^3J7J@>|ZaFvK5(8m#5nK%R@urwKfJi+GuYx@s@1&+KGYdu8T;K+}a z&8b?Kx1+{f>++6dj$d8Alw;EdfWMB{*^MRV2i7VVl^{b@V6Kj@iRMWgbo^|_X7t6a zCU3(g^_AOh|65;b)9r8bS@d8TY~JuL_7I*HpdIyw%e4Nr2Pixb%x9Y58=p7kzZN3Z zx?^8h)qsD&#>K$v=Q%_ za<PX)?{eU z4$5Fi?Z8*#Y7}6s58{vclnn;dA%6V^S=M|_IMI<0V~g5AGjHD0@_)u4(VJh!QP$gb z;`?FxYBm#GwV-CP$pRR5zUbMZIX(-`tZ{*(0Au&HAzw_1BgR5!i#ShvSD;UaDt|}CsfBY8u9iU-giuZhXFW-ylo+}H~$Nr zU7zaB`?Eh2z$TFI%lKqzd?5b^$MO@PYF~Z~?YUz6@}X3}(-$LsJVC0_k8j1Xg$dBR zKmRWo%VXp}d2Zcqa$(_+)iC0Zc~mL)gOv2p)y4y-k3;02eP_}ux>PuHwO~oJC$CEFklRpJrZFXl|vIjI~L1`L>NwGLL%&?5|8Yw z1Yga|6HM@uI{_{#FrRbd1ggqy9#k$f6kl?b~c`Cn<= zSDS!W`u|CUfC-qb7~rT0d`ji(>K@|~OpL<5vW&veJx!FxNAZ<8lRp!cj_h+z>8nK( z`Q9cDn1~ehduJ6;i01p67}nEB1(f2V`8Gf0t^QV6&&Qy4hj?&_<&UH3(yLfLfn&E5 zA$+oIk~D8JznEwL#6i6T-j6MrFU2I_IArtZNcto`R3I<lY3eXW%j_B!SgTjCbWE2%gFJW)s3CX(oPG0Rt+1oy7<9^!jRa2H%Y&wq&3LzVLaT z!2=z>%$Td-=jI}Aog`JwT{~d>@vSB+a_O!Nfukt*%lG#y26(L%Lk#(4W&vJ1vCgvVW_p;m^PnCBcDe z0(9O=k*}bm9X}D%npmN@_L(ZMYp^3dPl6=atN1w2*&Gv{tI3|6y7tG}-m^mnf z`VL44ISO$O!pNp_h?_bpssmIb=;A7xiy^fpO2#2w0lr_+`*KEL40SrwAXlSA_ek!ntL_ z8;N2NZkGx%bx^ozzOq|FTb|N#SP!={?SP2Vp6F;y#RTs z-+l*PH4=6!KQs8wQjvJ2N?fv1B=TKQqPVXjvEVjTaTQjv0U@y66=R1Q|8hn8U3>vR z8fV`Eo5sRhwk8csn+SI7NfI<{A~ZKy5J>b>`ZhOVY!e~V#uImK35{4{Dl~T!>aqTlVThX{<>#4`b&GV7hNPgkTlq<_*iGfrA#MAls01%E&fV-`wn z&~sIjRg)TP^fywZOYXv7xDg*Bt!yR?Vr<_8=~D~g5?8HZcXCVqf=Io3^zj7TZNOPq z9f4O6(X)l&jq&TQ0|75n6bNBUjWsagGH)&2Xf1?r%Tm186K!FMlVEK8UHV>$@8jlNR$4IB!-9fgK$SeO*uQAjsp_mZUV-Gq)D z%bF>5@D=uQwX@`1GW|B%%a30%!1xJ&9nksp*HZiePRXLDkZnXW&Bi{06Jyy^;Y>fF zF`GRVKJ^pq*_x?f(_h%bc20$}{e=PS;8buPAgp8gQ>8Nlgfl$b{|96b7Jg+*{G|_r z1s%_llfizt(0~r1x>D(K5+a8Sb6K_9@NKvd%623}@UPfOdy*mNS7A}xK_15PH59#k zG@K?+O@8TUXA4{XStlcnPx^7XsY^2!&0H4PjWX@-a)=xu3?zLgN1(p!;n_iQb5f%q z0bf7-i?2sV3L)&Yn{;BN@RnnH&cMOZf(yGf6&{Zk`m^b0p#2zh^~F>eKSpR~opYuV z8iHS%!0mIOvI+e$6?Tphf~>zPq^1fB?W_NurQ&`-DJ$waN?bfpYoUEKl<&L zzLx5Hmtf~nHc|j^o7zLsj&HnCdtQ}f*c~kNb9{V(%rQ@+6C2;rX}X8RO&l1r6W%FO zy%1p<*YNRq+2{s}Y&C4dH)FYOBl7BRUc_dU?-Hc6fqy~;XEyW#ybTrV+J2OE$JX%8 zz-!yG-dJ6rzD^rxGEQ)|XspCY)mb|kg2oA*Ee4;KTdJwFq(dvm3H2-%{UxJT3hJ5+ z`f)--i|2|*D(aq$7qA5P|3E!a?|8x1B2Ce&@_Hx3?D0ZviyDfB^%T9&B4F=$!NX#G zJ<70Hdj;*83?Ie|PM+D9%iAE|ZHqbowX`@^{c%)7oJ%V3bqaX|euBd9-&jJSNobT6y%BWN-^dwA-!n=!j&P94@%m z8hEEX8cbR8;9qS2VF{#!3-xQYx?P?gMf5d9Ut0pJ#|k#Jf-A_=cYyRUM2}VIo|7xY zm%bIG?@aVACG<@DGYkKzz}F)fPxA#Q3kM|^)ZWPqhV6t#RzT+j!yQ8NDxg0`LDfjX zsYTTaxsX8i5B&$*A4cCN&`dSFQ0|VHnyt^9!>CB1K0BTab0UR6<~|i}MG8ack;HR? z@POfftsR9+(4eW%HcIGkJZOp3q8qd?#5 zBu%<4`!}Lhtw?|9D)fyNQt6)D)mWh=8#5BBP7sG7D`&ni!r^hS$}|X#6IwI>7qB``NMPrmL+yB>W$nOe_yeLed7&?EM`i0; z*Hf%pbrB}S3(n42rj z*EL|~ruT zTky8Xu7GYl2i-uZSIzRAktU12Xpzyfn|WhR@x*g@c~1b_cyrV-0~dyS@`@9y!&n#T zr`LqWON4E#dJVX_L^y1?AM&BH}BpG;~&fUf10&II{TZ z(x2OfVO*`noV>A0TY)b-eKYpRSIcNH9xjzUa)m`4V`*?|r;yFB`fdasv+#ZM*=lZeIT@`rYBHAS zdp3bf`GRZp!;x|__MumI?a8HKkx;EbSipL$g>?mj2V1`et`!J%+4ME=tw31FIuuJ; zM+7a$TI&Ih3U@01@`MbrPWc9X25D`f#|&djR__UHIws6y!yiZ<#|6A&>wgPI{v|}w z8}^HTp;rB4sB{`1ZHL^1{-=f2?BgT&cv^^L-ETn186lVzU6=knBP28S&q4@1Cp2MA zuS&`1XfOKhvb5_T`f&P^^ypvukbMzs&ttDOy9i0=g^4xXuv3-vSU0{b=X(}{Z~=Ga zZfQ{e0(Nc41?YZ3IL7WT0JDpj_#t&-r3MIHCgyL23 zG~liXbz4#km*4MvGmzrJ?T5-*7%kr_w*s=yMoWZbQ-kG2c2T~}{bhyte%d;g{ zh3?ibE+7xicN)w&b~-l$){EC^c)BEq0@1WCGqk5evAgnO04QDDWdC~%idb1p}Ky|;wx)jHthr>=i; z%K@_>=QgG^o+-Y#Ewrw^@oag!dd?*7SY1OJz7ZF}=Z;{_vd_byJHqeGGZo(65j?CK zzSGi-xbvjPnxWy(ynn!aq7SBQ?~Kb-+v9^xaGFcVHc#MRDeCOm&A)MnLZg6Sin zn~Tg&-(6GKzoU%)>Hz8((nDWjQwT3-K;k2Oj&Yv_n;zkl7?zbE3l3B^Qp+BX@d51P zF}V6z@HO9d%t-TWkGZ4#S}u1XxIGb^?Gh0zPR8%<9?;B2?F~tL4z`oOcP4~C!Dr|K zf*s4lg--;$LvRNca9Vp6GjV*&n#=1=A>`bsL7M8PzGvPq7 z(91@Xj^r+7$zaUm5dfg`zs;X(t9pSxs;JnD(@9WT83TAV3ex+T3B4(W%g(tns-fWI@VnEVDCLX$Bl{qaBA(DxV($t5^X8D~P&TeRM3p22#V5$!np7Oj_I z*?Jj9JB;3;^^Tb!y+i8@NJ_TeAm#R+@`Pa7dV|!$_Z6-0@j=L84|c<|4?;)w$8Ko+ zQD|uyl!an-$mwYOk>vdJ`4Ih4Xi@nJKB&@y;{=W+LW{aJ|26pNu5?QQiOJn!5Yv9b zZdp1XvOWpDEu9u1nchGvTG6vtpU5+UTb9A-nd@g^GutsAu6`EATNV(xaTyt<`hFqL z2yRjaqtw1HxaO1_ZsWdED(z5HX}i)doACLjVk(KKN47a;p`l-ncffvmJy_|N^o7~q zDXEB0PAZuX%^3Ebl8RutTVxo`fbZBXISZlt4@#;kGFZR>=*|yk>Q$+580{oTfgLTQ{HzH^KSwLjDOAO@YOzWb+a+k<3dUcg)w=>;hn8j;@ErS51 zoCA8n^cO=WbB9pOK{EX0k8J2rRhSC06Mri-0 zInde|?JpoHxmOHQ+l|qF8J2s+AXTlhwnDEQsH~mF7UsaWT3Y`aIdZQ!Ekj*$uS{Gj z_X^~gV7J^QSnd`T&NjucPx({nl@y}Ny>)#Vu88VfBh`wXxDZV;dJkeQRwOHfk}1TWdF2 z2CYVIvSVV7;#hqfbj;}wgk{GJ@Jt)658Jy7PTOeP)%&!=kel*MH{55~u>%F9Np{vC z(x?U+uqg*}YoNv;(kMG?AY0g?vob6@E5qn)FI(+qwl@bp+G_FZ2WwDDcGe&jT@#&^ zVcA)O)RmgpXXPfl-)dp~!hCtYNSiPBVai(6C2t*ep;qd{AUib7dmX~^+-`si?6jjR ztsZWyiR%c3^|TGymd()5UYpITZ-!^~+S(rPHW}QHqM=Fd>%X+2ogXz}O;eJp3l}vb zhp(lOULs6lr`JGkZS40HYO!rOE--VzP{?q*G8jYA-2wak;Trhpfc@^Y9;M`=ZLl-a z5kn!v^3axHl)B(pvEP4lqEMtOp-7iQk+T7HHK3+0qE^|dJK6DYYh4I>e>$F zGa|jdc9><#7UY)qiLcQta{NPmZ3gR=3aJgW9D7v)}86`gs7pmfnEMI+>cJcR{{qLHlsp0sSFAmHqxfC!d0-nkrtngRzP7RZ4FB& zYFADSnGIv~sgZU*tF#%?T`=$kMD9nCHE1bz!N|&R|1ub*bgtTy%xN<`ch!!d(!a5G zfJ@L;l z6f999EGF@EmBNJ$XSX3s9(?}-jcv3&Er=%juF_zimo|ir$NPR#7UgT;?5jM1v(h=h!!>!6-jK`lHaR~|E4I$pR zgxn=5*$aR?a@9>kB)fVNUxI zmN!ZU*u69MaNaz#4?cFmc~f%$rP`BQ z2Bnc*Y3U=_s|-FnQ@YSqi?>lLr6?2TlVqsTUE9fhO|l`@Ki8-}78vUS@A)8&MS>u%{iDf8>tV_+$F2l`(pE51}>x4_((CP{r~5d5iDv z-m?ITiYNk#iVd-Mh1jqm_O4i>#ERY6Km`?1gLO2<5=%72h$Wt)0c>C|*gN(^EZA%0 z_nEuL6Z6fFKe%_FnVp@PZF75jyGD0~Uu@#%U~{}Ew~yl6!Nh>SLDrkB3zMO2z7VdW z4C_r+hq6DT79|=6q<2IaZAzrjPCUjlSyx3T!+?FAV1N$ms^~Bb zc-sjE{Je-Zb>=ZX8L9L#%1KqS3k=X1KXt zD$bIU_!URfIc+zU$cYL|dx*$~=c2_cW&&Cp=wgNQZkN z$h*~+p7k<&^0%ALy-`D?1{BsC5lD-+G`qLCtnh40TYHG9&gW?LNOP^Cn=cxS zahM|v!t3ffxN456>)OZnS7ZkqdF7!`Gg`1?Nb4R;>DEH zHcB{C?tsD?^r%6d!l6Ovesy}dc`CLEd9iFXT0u}X8Z;VszWwUr(Pk`8i$6oF$3U~o z=MByD=~KIA{**a}HNz1ztQoJQ(lyJQTeB*LW&t2-pRBnxvr$5rat9P$Gk@wd78;y^ zW@F8m3@+_Qnd3MUKO+1MBa<__`AY6gY?O4}d{FdE{HW7-WU?HYj5p6T zHGh|8n8)wF*zx}CxtA3Up>eFAB98f1rG&eIf4?SZD zeFrYP(E1e&q3N77*qlqKw~dm;lp3J0rhinx@-+zcKcH5bWX5h3p$F9SQ_KNoG3S6< zWQIAvBw|WXnK|Ym1$*x?vMU1{_`BBpC9ru1>Mv^9@i}IbsbKnUgTc+laK@c(#y~a- zJMUbxi%Ep;rIN8I>~}WCoWj<1UGGLiV^I#X+%OxBkGQy|@v`-0FZt}wefmxp+IJvw zH4Fh!n_{CJVT0P)C;?3A4+^Vz)s4=_8s%V`$A!JV2o0UjnG`WH;XNVrOrGb?1Vqjx z9Yk%4jbdbCqwr=FT7OXVOp4I?`N#xy-L$|QYpUU8bCiRvTy*n>x^j*Xs+*OqO~%#+ zY!-Q3i0$86& zPAX;Q5_-i(31`aVM~2XW&eUoNgrZVSSYqC)S1MmJ7qE))j%uBs&84_MpkC|DE4Y@u zU1#oTa_r$CtDQHPC6j2MO}#fF_3i`e+>Pk}c+I`*%L&dtt6R)oo z)Z>`}UM8)^Cz`Yw3DXa#n>L&C3HSG8FkXWjb2Hw4PbYr^qjFIF@SFJ*)7 zHz@a3LLqIi)xC#W?KHzwy`Qv#n7e;;w-NQW{NxRJZ$ZhOd&5j<;9PPKu>T4-vOaGq zWDEP_pgMJn`5%)=TS8N|qP)kwq7}FXcY9@sPDU#3;KEKD`RbzU>qJ{+2)0r5PTAW= zS<9K#07Y-nuSmorv+#pzm3TA0T`ahie%gV|I%m-yT!ZUm8JTen;mm@L=gO?OPTc3C zR}w!PMbFG+qd0R(yMn@*&C8-vJCRxZLABjZ^J0^y`%=T@**2GR6=Ltr48Yk3`Ja+$ z7o7C+iQy!pIvq7cO?yiIyC6zCsP^7v-en55EHXq%|0U{rrXeDowRx73%Yp+o${D6y z0EP8z` zJ*g8T52<(dn=c6wd6@PdGEd|sx-}1*={CLD%t8|H~%$UmCdH8EvCw{=g&v67#gZPd4uN=PM1Duyf z%;iPMw^Z^df}8k}6mk>~&e&7q;!!i+5??q>H;$Rhy7K85+Mz#;{ClNJd|n0>J#Ma9 zEWEebA?X8?$J@xCdw~4mFnxR6?CE)dDIGyc@`3}knDOi&-UgeO3-wH-^~cRY!jhss zIc|P|wcM4|+b7LUO~N&W%AGb}6qQpb`?UE!`{BVE^D_~YqFy>{ejr4z6iPa8J}$mX zp?Mb&DUC}}&s;EL&w|Jl)$@|s!z5x;)JB)hSRJ3SUTt#4To`+nq^Lcvnrq`TqZD=V zHFKm%gdI@}-!Nn6rv^u3z`VlE6pDOgo-KACAxoxt zs(6$_b280g;(dzxBGX*JQMAcV;+eUAVO|!^>#S0Px#HpI%~VH3k{zC*I`llDR?IT@ zHyJ^s^;cT*92GnN9@_uh?CasIal)J0-fvOq^jEIf*fQMt7#zQRGf6MZrCrzWMv)ks z2^jkZYW+4-L#7PNP2oDORoF~pnNl-1WiwM=Zld2o5ua5$^TJ#~I3J@=FU1rwyQ(%yjyN*a%TsmolX?|Cc2$u5$YsF$j)a*^8W3|WPw1$8-10DYEenrz}d^(J{px%bpN;vu=`84@Mg_SAdtA*23n;$ z+Z({C0d?L}J_!;w|u{g2JB>L4KWiiuNJ?<}+z_OTB%BX6jZ&A%i zf211HR~q!6>Bmi=?$we0Tp4w8bxyxFl~&Y{`f>iBYDg2jS74SiE!kSni7e?0aUq`R8-6vOU-LR-h)zVSS_iFA+NSgUTu~))Gn|2|0A!CM5aKh zR7-Lbb4F3~x-8C94XexIHbcF7HgWY>obDD~y(a&UxcaPKZ<~4}sCfgHS3(VI!1A_2 zUPHrOZyQRp#nT=X*+?oZYGYf$Mv|AuQg?)TF<3dMFNc}n&b1gH;9}z^ZPMR#z7gsc zT;S4JO6Kdi#?p9P)z$&hCtY^SZw%R+zJcsUT@2Z?2UCkCkbS>!ZrQ1YbICro+b(;5 z6GQgQriSc~O{KRcu_u*YHJ5NW&oHXf0@dbo|<^|l^iVnCE{;UVz5+1Ox!{jgQcqC3VNb;XxC`xz1m4t#9cIwcG4f> z4H`=bIvVK+-3XDEzN_i)KP@)S~Gby0h1g$cS)<&M%4aWj<;c9c%Jq+>`) z;ONV!>4&pDs0Odf7W5lZL_`i?$;kk|%3aI-eNjpUFRbd(-+mIfF}dE-A@(p0ra z7pcEVBwwS%uF^&hJihHFt>eJM(p|b>5+$!tdJk!v`?a(@`aH)({_=z$M^IVYpdVVl zmVWOEn_%Pho`#K8df^_OX=pELIotN3m$XcH9HB+MrCV&nm_E`pm$hue?_U(@LZYuU zo!uVYR~lq8=)ZiSqxFPJ?j^pMIOQ5lj7i}L(>qYNP_5Qa`o`pX8ILGjr@Xjrec(3b zSB&QLm;8js1=`(Ts^%*2u@e(NfvDHMM08`H`%Ck<%FP>q>W%KJ%s?rUtKO!8Xf|RC zR#FX;3JHAFaB+}SQB*!cj)SEUqSg@_Ggw+Af{&0KCM^_=j?j-`QXlMvt9}TRMwo<8 zLmDzf>QE&9Ap37z8(yuN&iP{OdVG-5hDb9?Y6fiz>!e4*;Nc@tnCGWMG8#jrWh$|qWIWe-6qh#w@KoM<^Qs^n4wnm(to9U ze#Vg3|6h3bvj$$phC3TRE3TQ0${KBapSRP;M$mpuBXhV^O9Wn|hPYar zLFe({ZwlWcRa84hO21+=q!aXcwiF=nt#ZH|sWWFrbEIE{|4C{bBYhO(PpA#%O4y(| z`UJI#h0Jaz>1`~ked`nS{XC3yktfv;^CYuLEI&b}1yW0~<^;9jD@$4cb-`@jZ1i)I7Q~?&L9?j25IC+^76SkM1kG3^MT>nW$g&vMGbhxz#nN48!#SO{|Jyk+ z8ziASXZ}XRIhB85=fs~(G=kan?i9{eHA zj{0$r z;iwh~hNF%q7>;VS*XF49`wU0@#8)`#;XcDr3;#A8RWs3W)XhZ0QPD|;qsk{6j!H{5 z9Myfl;i&ZeQXv`GFAL1RCuJiFUG@OvYDK8T18J40K9cr6kcx{5 zr|I$o$wPE4Om81Z6PbO~LusgR9zi!BO7F#h)9MvX3UU+`ovHL=sfn2N9fdtc-_@@v z&3}xxS0scsKbA(g{?blw;89QYjt(1sXg;H;!V_cxU$l54o#(5^Q)xxfwP!FM{R+0k z$)_=jy5c}%ZFHOWl%63KSGA|o0}O=$bS6u3ZK(vX&^B17+pP`U8#Zo8o0u1EVm|W+ z2>V(aJ<2^u`hxyqL(B0=G)NM_;f{A+s{CAPEJ9k-i09IMqbZCX`0u9h-3zH%5uu!= zctt)S9L}j@E%HRgg`ZOS`N!AqeYUY#*FP?@7b?E$Sx`PC^lhd==kHO%c?c+9@qKpA zjn1#;DJ=hOst|e(cDu3N*WiJq*Z8Lm_IZzW1WfR^6SB`xW)ZnXQ5_D>1%v-h>Gxz( z&BfIIMdcxmB0WYuUS}!VOP(O& zz3H=;TvKs44<*i*cu!fKWq0cAEk}#A8FbxS4qy=_OUVi1{B*inO0LKv#XpoOwQ}~{zRX*}YF(iVTmNC-JDkCowanq<|StDKRvhob^!&Ew57JeRdmY(x{ z#uOS?4)+tz5|zV!lPOf(7x%NyQbS+dubNEzajz_eE3~qO-_wb*iaR-$mxr(lBg@NE zMW{PHEe{of&QqBR@^m3hqRkZy6&_WP=ZZSt)A)*p3hOIEWbKJmu9Bfb%SyOkIDt;` zJydvxd!Nkl+*4rE9*;uWpY3_8{v|%e6dtTF1>`j zR6lu~2rovBsz9T#^E9psG}<+m-c>O)s^zJt_F=(jxsa~K!|A)~hDNc~4ULM{kcW$jMQBzH zXf)?M{aphZjTlJ*H4Tks)CAw!5mca-p;7%>kh5kuCDk%CxXt(PvFUkj+#{dawRO2_ zp*oNw<~Y%^dJr6co=(;S(C0e}sc#5gR3D6SVN|k#t^6B6&<}&@bOS^1bH2|QMB^G7 zf~lb)xO5}A5DEi?>c z2DFY=AMq(Re#t=xJh4xWx^$pb9pv(2?^!z1LB1!dpH=_tD2JHD$+OEk%bK`&R(;eN z)gQB=>ZopLI^ywJD%?{ZC0?DSIXz`>@$oF;b$NksOr!d}aGx)Y`t*`xMUgbhHRtEAD&-ng%uMu~jiG>uyK!F_NVjp&0~+dYk*^pQ)6{%PdWSMDH&rO~&2aX&td zV*1K$#q>0~+*ckUW~EV3s60R{OQS8JvZq*`MyEod>83Q=-A`U%-JQm>PPepa*J)v2 z?Be@vf4RBN+~3CBAIwL=JOD~xgRp_}P3t{dqSaT7M9sdH1FcVOU?Us2@msmF^_2~L zahaw+k&DoaZ=oy1H6J7gSRQkUAn!)hZxCo32g#mZ-I1VaY^bl6ed!%f@-{_J?RxHA zb^@OXln86@4U(()4l}qaY4KmU4yS?3%3S01OjEVRmkjkn2FnFuusU$C+)C(O`FHYR z;eSq@FhpMZr7KrXzO!}Z9%E3Y(3LkH^Iu)L<=DG_b>%+e(UtrES6ANR;Jg3m%2EIP z4!)!3MdU!2|LM@x0Tbn&j>Ym`(9bFOh>su@ZS#Q~+gvY@{}j1p9Z!(icdc_#45Th2 z7;+r2bBuA^TscP2%pGtz9&JNv;d_BTO+oXidO?kxD%av&pw!(F@&Pxo@1{Csxs1(n z(r(hb6>vlBEpq=+ZX&MSq`p7O-Nn6|YQm4Qqe;BGNl7c^z6J3TpEZdMbJNDGeV3hB z>=ya|Bo`Ilx2WY$a@&e!Z1f=|w2y0aI`iXXP2A`kBUF8&Ra*rD672s;4iXJ-QQlQ@ zAJOv`4h4`OH3)ztnc)Y37l<#rqw4eHvTWq}FEL96wVN+|poLFG04&G`y|68H;U2YIMZIByU7Z?Q1(FVqE zkOOlYx0Vd%LK|V+@(VO@qr58rS|d?2oX#7!%%GS4MfS_T^$Q+g!^bdw=nI~;)ZjnJ z__Z(iS{q(ylU&pNvaKGqS<1~V6{nMG3m3uuxwokQCV7NMrjbrNv?PblfIJjMnFY?tebR>NrWc6mIvkC)r!KKAC+B*sV?z5`4k z{=P%*UYgsQws;OI!^}h7colYF@4!BReGj4szy^z;O_*b(YOqtzFLUkHf(^tvc&^@~ zCvLq(BX`QBWUi{3WMkj5Q&wcIq}rX?hJdp>W!Iuy{j}|~bLyVWUg@9 zD1+TPH^z{zT%ULba-GnkZM=TFpexq~t)GEdC$U4oHYS~V?Lwwm%f~QBw`RZ<1uZuDqnz5Y1z1j_?7KAiUje zic2zd*pwuPm+ooPVd@kc8|3W&9*kpkLaH`!iXpUJvg|LW-lplvNZoY5x;$AfX3A&l znUU!BB!e$)zg)!{XOp_v26`Qk1M@96Gy-o`8$9EHTt)nPn|2(KKYy`yqRrZaa#N!= z&YTF{{M<8s;IZ7@33gBLPx@F7-u4WXY8q0m@0 z?eqjA<9mnXQzH42y7RESTd==J96=-qe|I`6uQC-&vDvnM6!O6=$8dh&3`x9po2D{cN0R3WjCBQ1!V4fbIVoS{ z?24a~dljpbQ+y+GtQjyA)}ZRdostI^`)E^Q)$knh-60_R-zMkN@^iZ{7Y{SC@H%6( z@SbPn?nZ;TJCs}o$%QPp5?LWFb*RDk_>6p^RDS(n&s+1GnPSXU9{M_H#~|C-;>bA+ zxjaaxyJzLoqRwU7nI@kUahKJZ=VVOO-@Zrl&*Nb*Go4yqkb85R*nC0$HQ(WT$YR%E z_SX_}36l!b=!pi-YJ{XSq0ayd>i=o6F>PSza%aFVnfp@-PTg1FxXIiLA@i z?V4QK5$C#5V6wH4+t2&*;3=mQpC5*qXk!hduU7mt?YJiQ6ou}P^L4p!rE-`kO~3;H zwqrP)=%t&Lsx9h|@Gj;lPi)If$K)mgr~TCay4=@r&cnVORk+jH>++6Ph4f@Nheba& zqaPxzyqv(tfVJ{KbkTY~JWE>?2=53Li^Y}NTujN@b2xPa0XuYSbW`@0pJ1vJ3DrS2 zpMB)}cSsLi$kqJ8~gfn2u%$zaC7N<2li|+X#4& zXyt8rpzyy#raK7l+5dOsZ*_D%Bu_b$VUw&-uYjb>jXM@S~ zP%bTs+@i7%F~p6#L#rP`Uo@u&4-sO*1I;zL8(-IIa(kw~)Zk{&-80ZPx4uJ9GUQ-R z-|&&##cp5KPOvYHx2KAEmQaYx8=PAo$>o^y@*{bkwY5zR|MrF&(=!b$+d3fP-S`Yuvp4CW)^b>dO3mp5VwRPFj2eM29;Z8V~b%_M*pZC0>Tad7P_m;EkNbA#BsdW z3%O&_oWMVV=!C`Fw*m5Zj3lb4(429gY1ox@cPCrsyaV?$DAC zat}OPsaYT7Li$6N`I8(|$oZP?@uvKIB9JRIp`SmYg~E^fKFO_W`R6d;8D(x`oxw0_ zDsB+fBP$NvlNx}O^VrhAv7v1He=xZha!sB5k1UyTKan^$G8oo>M(h}A8e?D-U;?i# zUSM8l8@}G8_=(Hc=$uJ;!-aobC<9O#RDVY$*u*tCGLI72Bn=f@LHnKJX4oJICw-u!bol=zi zZ14?5DJSVs>|%r9Wl;+Ha)r=qLqDX~>m}FVPPj1&TU+6;XF@$JN(Eo89ZmW1)hRc( z_`==7#{CDlWiByoe>J0+Um!R3hGbQ0u{Rp=^}D<3c&qY<;Bdw#Kiq1>5*Nxl6wn zR{DzUyOghp(oqz;NB#KfdyjVUwaGooTNKxh_b8OFeecmrMcMll=b`Kn-L9+6N-9`EwEH^s^hC8O zf1e(DDr#brY=g=GQgf&T-|P}^GhpO8}!>flFBNHV*fogrL2MldpGXUjdIE`@$4S8_El;M=j$|suc#e+ ze3c-fT~{5;D^+E7NiBb6w@C!vr>v?_yw`mSsfKIFO^UCkWQyfCX;pQlqzJoDfAe+B zeY#Oyxhf{ySNGIVHsX_jTWV-6C7kk`6pY`_vKekaTC zV>NmmtW*>J52<`RsO7AwE!!y*@;Fs$pT~h;TvSay7>6s3?5MOCCqk%HC#9?C*hQV( zNjWRT+O`zjRf!d2Hqf=MN^#!x=3Q51L8U=>(~S*_M)QV6kY=DT^ zFq8wgQ0;LK+TIOSsOM!W)LrovrW@3-yHefxr`wJ=?*PXg1o3#6cvX$;uHe7`uM8MI zn(ccG7bKZ8XiX2Lh-+TJc*FeC*tl#QdZfmA$S)vYaiO)N2R)SQjuk9!FZOFQu_#jFN{QSRHG*_}l6F@eF5W+R{rYA!e?j!LcRx8iFO*K4WU`zV_{`NW2v(-i&0 zhU3#vvpZy{kr4`}_qt{feiWgVk;*Sk*0Q~4+1?)bl{6gxw1<08&^qCqoiLjTr|g6@ zJ7IDLMNLO-!WN)^Ojq3anlfGK#)sQ@%|JhaYl9hR)Oc~+bq0zUYleTDp){3`aK`l? zsjp`!4NW*_ifTkDtweBHii|>YEK!!WM=9gQhB8zk8uz2iP_JlZfw<#CkE4~kVv`S* zo27IYZG33PEbyH#O&?}~Z(V7sG+SvddX%QIvvKcRntqwBG%Rt{Lw`G6JSaB*pM`xL zjBoULDm5u9gR*BU!6Kp*wVR`SFY=V4V{?>oV!k&8#wfML&f+vFMrkUZ7N=ys9`Pb+ zu2NqdFHTM7DghUfbMAyAEI8O0v^bnhKI(bGyI|rxeNqDoFd1;SBCfwx%ByrRulv6DJX%WAn zoOD8IJ0bcJor_b##KL0KaG_FO3@S!p3(-L96{975El`Y-7owlPc%0rXgu~|^r>2XP zCZd^}8nZ~j(E~d+((%P8&)ALha2*QTnuZ$;VyAw^@yb6dK4QG>CY*2TSZLYLN{D!{mo&aE*-Q1-q3fux zsEgMrJxt<G}Pu{v*~QtiJCI{k~%LR?%# zZkv>WB7BiLZIjYkxJ0t9YjW#)^;cz(InTV0jt-Rn0Ny_K*{UoS*S65htx6@~F^#>2?^qe-{0{UGWraXVFc* zpE!%89k}m0i^}bQ5*24r#~n&@&+2cH9$N-RV-r4n)%XOK@;5z+8#(t|nY4Qc60eS? z^c_kWF(I1doyv~|E56~+t*}v+Ez{#sv}32zy5OnTUn?^rik$yaT9g~7lPo$7@Gt_}L+)sgEnv#7jtRSR;Y&ae8~ToO#%p zqoBeWabOta=j6%t+rc4l-5SrXHxee7C5qxp65Gn>0lq`|{$L6@N#ksP&M|Lzv?uiv3%` zN}xC@n237&W+Y8aR3>{Q!-RzSU@{7GCHEXPr@YPPQP+W-|3)iYJIN+_(tk_VC^kun zHdP{*M8%I9C!j6@cu%+r7giAXtaWJhm@`2 z(Oil;jEb5zhWZX8B!j~qjvf#>fw26ZYr8L_HU6pY!SxQg0is6`6JZW zQqT|z-Lo}>7XRH4E~h~Lw9(5_m4TjNce$Q_sn6(SaDOnP9;Oep%sDhORcR-F8%5_+ z6@RBAcN`ru96n@c#oLuwxpP)4FoELTZ0Z@pSp$MmPPKf=R(9Gb#4Ca!OzpyXOhzv?$n* z8{w}`Z?CiR2a|aC)p~@g_f9JKh9G(hy+5r4Rj!Edr-J5>Q-bDCR`Aid7K{EYbCVW2 z2MQ#!W_RtCEi02ooKa%L;YsxV3v*^^~gIj4(>y{H5T z(|PseMa4-G;wjy{snqb8yB9vgtf&6b_QTXXK0J46^gC;gQ4<%lW0aDv%oYWo zQoGxVcgae7ICtB0>mBrcUOCzOY5_-S!EKn=tUc|yt&|c!x2GGom6C-6+rvz_H>^!e z2G(n#)7Msqknj#5s1nocwBD3wL!EtsuTlK4}H(RY=PqHhX~ zyr;}BbLKFb&J{ErZCQ`E*-3Stq6gd88Nc)zRIm$vc|RrZ`{rHvyJYosBP>?RT7r$?nvDUp zvG~NSbX&UiK=H}{q%9XBf90i(4yJq$70-yXdWx}4gJOGO!`)bLvtTc+{1;ZgV5FLP z2-|hwts3t%=$wTb%d5x|XTqqYcWl|wj;QH6VVIrpBNO87gwbCUhzTxwl2LYw*E8Dt z5dF`cDU?rB`iqjADO^*&DST`)$`a2=N=6tW%b2ump9`VSDEqlmjM6owYU9b99l07s zRpX_l59M61Kos+^c_>i>fD)9mT7L2LPo<-m-cxG|;01L~ehbT~s9Dt=0)YL5^& zwM(XmM@n_!o=iI*DL<5qK{p5fjOKcTz@Ge!!ZJL$^(pnsM4WkNulh%(f^X$eaK|4j zk)mG{YWqYf={_CbU~p?Wz~zgjd^}cj*j2tGML$8if7yZdKEa@TavzzV;;~?R2b%I! zsV9o;quo#O9NVP>U4Dwmj5p0md8T|PdhVq$&oF3@XijIIDZ^c|oiLvR*G z4y`SX&r&=KR>2AFlO(68h3JEEI-A)khBjp>)hZ-&vKqOP!Kv+9ao5L$Ms~u%r{wq? zBlngu6!;wV{PSvxd#-eLr_nj3I|QYxmyBM-bMzu^C;UcJ$P08%V^`6T7fNqo-c3he zpuPO9k_+bU#C(+kUn;YNS*4>dl~Q#VhUdr|$X@<0_551=mwKwtD`lmrdH%mRea&SD zxoGw0;onC9!B(3+59-?Cf!YlW)@6@DG(IrnL1@`6$2gjCw>10HNR<7I`&2x;F8Q$<6Cy!F#Jl2&Z0(dl}gSt zhU?Y24<`!M#%`fWZC79&m4>}jrn=tU zrdu~GnXS_w*QXDqf8Hr|U3U#Nbmd``GjP*3{7$XjD`lOh=#;Vb40Ocr6!{*3K6M42jM(vJ8k zl9mx--*f8ZX*tV$<-9BjLc2|Oy)610m5Sb$F3dO6+j52RKBbTzZ&IR5S*CD4j-@Tw z*X%aUENyAQ_=(b%?woHqAIlw1m$wYkL+*ewkazky{mxhD@v@AehkIGeE#~`J)-s;u zj45a2ySE(VK(8XcmUB$c^tFVtoVMjHWu(*ah}N05mAAOkyz-V3obWdgSb?+UEj4kk zDitj5CeF#HqQ#3XZeGzc7n-SQ6)iuRSaf)0%Sjg9*w6B3leiaf@-wa}w;(*}73WYt zAF8)bcy1>IfKMxBCuG?PZZF8cilw$oI13tUhou)Zv5KXXnBlMfRK+q~78m-dp0zD~ zO|DhDAh@b)dYjG9UU~)~EvjSLFT}4e)Xw!SzGkug1w{l{R*J1J)DnS~sJ!B@7qqU8 z<$H1Hh3e5329`L)`b1{FVJT4)5is#9&T!l(U3sB)47M~kiK<=HmF+CG9Qnt&4i=nr zi61XJT7vRG8EV+g(oASCD5{&qkMDPOvvlHYtlcexinDa?8k^og_sx2Cb@Iy*eNNhR>k{w^$__wyfo+m88m7NeJNL6-XK(Ob9AJsTRqZ#>a@-`cUZ{S9 zELCjgwR)kOr+)XHCDN2Hoh?qpfgnknU()L#7N6Q|5zepF0wXOGO#%*`6OLvw z@#U^jP!9KM>=?`MIGgd6T4$W4yUD|wRVjk=D61aLs0Q1UHnV|d07>BabG+pmQkACI z?=1}rtSt+#Ew74UxzgeEZ|LIpmRe2X@S#)HYx?YIP^@__?)Y~Ye$TS~4v#g@#803I z96R9~!`Oj7UVo$5^!2#T2ZN~HBui;;FJBbT<#C<(B-eH`|1mp7>03bzILP_c;QSU( zvQ!iMg4EPWmP#hyUlEcvt(%#X^E%w-kgYEhJrR}DHDfmWGblb%OdT8V(h z)G!kIcm>d?NQl47p=xr%n@HQ48k*;xH`#dRpVoH3y`WeRZ}7E>&38Zj z8?=lCJD^p<>!K7l9bIJ;H`1n~Cu{3QPBWmB2 zcC14RNUTG~Ov_^bKXX##Sd;x1Tk{XBdD14fjH#Gz%@dY1tuIUYBg)dWIO`E>eo(U< zhR(4!GKS{_B?&MoZ#2aJT$KExE$%*CKu*zB@X!q>TfF?>dIaPd6#yVut(5I^*ujH_ zMO&r^rxZn(d2H{S?2JwCIi75|x@b9vJ=cNiuCAJ_A7G+Q-1#OuXaGta(o@?>8=w;iHopxldr9Icm;<1({7JF@^!LgR=23Ht!t&g<~Vy?XN zEFQS373NtcVEc;N>iYQ>yaBI;X~hK=zdGUmtsS)KCEj98Ih^719Nm&4%1o`q}j_Y4ZSC3UCg&AMw#J33tg!e*gf@b0HCzpA)d#RGi><9z*g!Ti5?Kp~11cr^XfY!W zwSnApF_7sx4ZtcuSaz614JZ0> zrR68FtN_*d2_ac<0gC*|(pkLCPnY<9Yku-vWr-5y^V7yvaLmPg>bq5zwWj#}hlDO2!z zxa;sM`hGp!RZFJj>ro2n5H`-e?(} zM^xKNC4RGb<#XODY#T>j4x*snpi|Od8uy!}bkU~!jTIG@mb}Tz6EA(t`pq&juXwVW`t7n*7KwP~+GSZIyjrSNRm)08;gChA5)c8a#L%QE5l zjJEEzR1%|B(2cz?z1a$~>_gW&_Nm%qpJl(J*!D>ENVfcC7PDK@`NNh#5zT+1(doK`zsuv{{UpU1E4(lX!nxy>Qjy>F5;CVc?ja z==U&z^?M3A-n0aGzu#k2N`DN`LG$E}TiQJ!tf28p@lEa3C=>?fsBqNd zV9GPhs+U0dVb=L2^=}&HT2GiF6ok(~F&XXV*Lc=8wk*E=d-;n~)MSC_h`gZSeh;r| zVsH?H1q~1>7+T3tVL*F$QIRe2ECxNl;+x4(sekc}U@)T6SByg$tHO+Yl7~%T7X}-B z#it7lWIi@8H(xUb^M3&YIdMk@`6CY-=P(94Fo;b_?Pym2ws6wbujHxO3#_Y6g)+Y8a!Gp9h2rC^-tuP<5?#8|LP1^T)Z1@YIY;<#W24i z-*oOqE%~M=-+bGRMlFVjMZsHbCz05MSPLr_8&6x{D+LJ&0l2X$i2>3d6v7}uG105^m&0Jc5EkO z`pRO*?t0pYa0hKIgSzrg1_*2(W1cK9pmk0r6B(<(Sg0Kv$e1@{ads?(F@3Z7-FB=2 zWBN9_@9dZ_un6ObfI4k*GI3?bGAuC6jyW*aPS3=SJ>CSY6JzOi>@s8ecHwo}=Eyt5 zSVQKEv}5s%b!IF%!Vdn#U=Ie}f^!njVGPp;4v7=(*jUDNFC4LBp^WM7e`m+qFs4tU z`L@fESBEiu#0gz$nH7m~WyT3ujC(<77M5i?JZ)bLfzh zHi$9Z{ULU&24e%6FRlYlY&Tkq7lSPsd}n9O$Cz$OP{$m;cN>A}bKRTm*geKt>lt^- z;XA`vAY&nRY#(F#y!P@OthctAL47yz%Q>L7gfV@4d8KoXz(~gQrc=xAtznG)tL1cK zOfUD4&J?-A>MA_D(6SZQ#+}ab+*T?AbaVhHz_D#E9I`ltQIn+<(VjR!I#q`>C` zw>f&?Bc^Qu1>RTa0mWQ~3U{IMKU#evas>=enZGX+jd;P}Z!_SfQ&e|GbA=2#Yf}b| zkReC(C|H@4D`e35+Ax?aWYEFd40h~-LbQ#M92`46Eo=OYetQj0mYARFxVsM4CV?Mbnq&Jxk3gVJj|dG zGUPa9KSM^ykW(UmGL#cAMC9bMn^AkjV9R|)Dr@~B&k7(xY|U3C$~pv1|LnCl+yU>D zEL3~7wPlh1ptXt3{~ENGvEOS!(b-N>i?M2IwY9yd(t&NJrAlL*?aBiM-g&MB0 zb`VhoXyF=bqrRiinqnJPd{f3j`)1AS?4+J(Ynpb6_rdb0S{xb2R(|AJ)*;m#04e+z zH2ouMf-}_JfjC7K#mp1lcV-r_Eo#F|;(K?btBYkAibQ^EttCa)TMAxl^$`86G<>bK zl_+ebJ!`FHBl7EMQ4!OZ{5IJBWH!9X?xwk}c%6-Tt1|D)IHW}Bn>oSr4Sd?f)^rEN`wDc+ zqHyZ-v$d$DrQ;MItxb%N4~^_B+_0`z(Qkr3__ z9f1bS1U*G82s2Yn+9zV}od(GJl^)jWu0l_#A3ZV0-lHB~$!s-exs^4>1%2^-{L0s~ z5G$M8HizWM$#qc7*PyD?t|m>K60`VqK?Bqken#|xM-qy{@WhRFk)ejjVqb}DogHi* z9E(J=c1V0{7kL5|8O8JMS0dFFhT%RM`g=pmZ@w1U#3r&LGGFt9RK%oGzV+5BHUB~a zZXp5s)*8;JJ=#{3U`te3O>a5e4%)=j$dl7V&u37S8~IVqA+tM0nW z*B~b2CT4z((K*HZ*3~9g6n{hJ4OY(*bMAiKJVIePH;;J8rEQlTT_d83@RJVwh<2BT zb_aD6c;wG=+8^=`$Pc`0F)s_isviff)iUUFkEf>j1y+i=e@>1wU&9i%AZQ7ffny@a z9k|a9@y}R{9E>;Ip!7+ zBz9mdj4=sV#!ftBRz2FRYI4=Ij4=D}h_npM&gbgF#`=VC0p;N&)9@xP#Vm5vDh0Jt z%vl9(S{A}>tJr;d-O`8EA>FewmbL^8c4jKLbgPf?7!K{JEI+;@cyfU?`WQaWC)FH=1gHrP{E)l|X;RHyfbbt9kw6k`&-(mG`%L>Ioga>! zqPoC$DQ1tH?7D(6v43|2N5)**ATAX&>tNWO7|CI+KE>9~2G<5`tZ_QI5R7P)`pO~R z@+O?%;TFvIbyGc$BzjY|w^Dtuo2s|4TiQ~PF(yW2W+cuZjW^tScDEP7eTb`4wQ_OL zV>9&Nn`3|zxfbxv7Wgo6lI@eHcu)+zYC*3r&8QNr{kj<~gu&d5#&R>VK;4W8Mj|kF zrR$CVpcVyT+=O^A$`LiT@7H3+pq;Up?^(=2B*^FoBq7&~3Wl3o`g}y^oEa6PAj<0K zSoak3PtLX)bm4h+M0RY*yZNoMV}jmgu1&Dbx)=%G++;Ch{Zh;UISDr6b;G}_+(_6G zV=kPDI`se+KWOUT_)pL`P_kJ7NxUlRW}b2JgORweVX&>hsWla002v^t2{Q3_0PU9@@u$_ZMw}v4W;x2jwM{X;>A46oye)%aq4C-$oU6Hch?yHkg>S^9&rI0o!(#|%*Nkc53}T^g7_N4^GwSPFV*=a8 z>JC=++9LGrcIO9uU9(Ht@*T(dc4yi7bY!2t#4;!*?4WiY-eWOsAVzC}$ggU)<_|~O zGtN}CI0G&Q7@P9rEkI*V1hunlt?UPz5Shmr;Cg@vb0z@KScidtrf)L4MSP3?k=-zr z)!D|4Z+$)AKw;TYHG)3Atu5pJ^Mf>Um%m^Fdx)9-WxUHj8N}5@}~j&S9#; zOt#rggQ@3L^b2VE5cOjYmzBA4O?y7mYU!fBOnVw>y^IX1rkXoEDB}R1>*Kx}0dpNw7_(8jRcQe0T4caX?$w`Fb;- zZJyQOi!u0MQlT7^>M&ET`PXOKK%EJ5(K8}=W_1bv@fa3tq%E6bzGSs|_Mc2PXs15- zCS6{OA*k3~lzb-^X`8P#(iXJm+b$>VexyyX&EpzOPcG*aT}2yHM8;3dWt-qNxQ^&t z<2(Z+r#e)2iuw_@vUc8^KJ)amCy&2_bF1aRvB%69sFetqYv~zo19&Yv3jV~1uBFUF zE78B%JfZg;{NRJ-yFnEo0!VawBpcQTZVt!*)#&0 zxqQ%|Tpy8i3G5u$sb$Qkq_l2y;!o@MPJ`i}pqM;9F<3mAG&77kZMF7t`gAE5SZe|$ zF}N@{7%+hg;bUxR2-mioLshn0>(sc9qT?)2>MU=yX6*Wg zn^8zDV^W-=-=Lo|_S~@Alz27Art!Az)?$G(Y{`cpxv>-=zm0G693c9vA-^nO^_MZi zwIs2kjVCHcmiG>8F^_^aSs$2BpPjXNORJN^H++Y+aIs^0?MT(OgD)s{EUz!;?Lo$z zrj;zFTNJ}zJvl6e6>N0FC^`!kQ7Vca|>T^qbj3<$QNtwre_J$d@k#{A2 ze94{b)}B+mP~evKGfGdJ0=-iRHDw2yN;_7-k22qwd>yl=zow9H zOw}BZ;YTzhr)R#V_-{;Q9mfN?@{P&gu?c?UYXY7|AO$utc}wolEpsJ}YG!h!DNRhC zj<+FibrVxLM-@MgG%;0m>=^l)J~c4~IyMFp&=i92MZBh=O-(gDuTMuSIftRe81ACe z5VJ-6$!pSUYsttfsVOuZ0`B`wO@5Ab@uNsHNURA`t7ax|;WC|W?6$5G4X4rcJ=RL1 zaU^ZsW349gM$+9q)~wQXkH8JFO`PEhZbjOaDeR~`KA4XgcbV(Kkty^h!CJX^M(SJC z@}bPA%?DH4=qKaSIRc8DLXGxXYkI86#n3Q^At)9fX=#2_C~mK{zDHm#miv=!GML3z zyEvJ&z1Aikw^P1k6P=sIOB+9#g7#T!mii$VOLc=~EM}rIGjFmeH_dZ0tpQ(wI=T3+ zPog{ftd&dsbNGw+pC{=WjPx&CA3r2nCyM*ulO@?&Q}p>n0m;^?qV*>llWYyP z@JFJUH9Y^3jxoIdBLzOPx>4c%*7M@r2g=-U-6p&~(CP!$B+>Uh^*v|}5#PS1Z3pr8 zUwcOv4_e!ahp(vIA!}*J(eKJo*0=dQXviUJi1ol&lpBhs-XuB%s;K;mG7ee&9RGP) zhWgFVSCZ-+wt9-cUQ+kNK%;Ze&5SmDNkCA@pTyo zW5#0UWTff8=M1MAZBH|b(>xES{HfN-!sj*3O|{k#U7k~Ns@2c)&sUsVH*9-hK8T+g zPtmpwVL(jJDgP0xpP2rN8Xmz+>aSVU`v__{Jh1Qxrg5*lr29v#YectkH0!AKt%w*y z5yz}!#G^6lt7BH2R+v4CvX5I=h^C{}A5U1Z^@eLWJvxbZs`QlPQ&402Q)+n1x=Fkn zsXjPm&2Mt5yUpl3Oe4`_d>T&Tj5SnD8bKG%TC33FGuAUsN4FXr3x|Va-Y^<{*4j^4 zhO6KxU~+2tr$KcY25P>c1~q1=+W8z)kN#mxJA~7IN2AYM$BH-KsoHt#Oq0`oeDur( z9TNs>)L@!)(fXq}GMI{7vW^g8`)SrCYa?V!x%1SFAPs z3-`yf_WV4LgJPN}8Eer+8L2;how}VZwVF)o6{~;V=ex@|VDdGlp|+b|T(Oq%)3bC$ z(2+}*v;Rlgd&hNgJpbdo4iI?Vz61*dtRSE$N>Nd;fdvJFioKy?$F5Oh7Ze*Jigm29 zm#9fh)V!1^*jtPe6MOH67&W5C7X3cw?p`mz_<8>xztSX?R(u|&FHd3 zaR(cH67FcT2+;>A@`|xv;*?GQe9W1K2_P-WE7l~7u)sACTSMHpBGfFFvLYT8Mi{J! z+l3KI99JuxR(hzIkR~hseD9ZjI{Ttlslz$leM}5#_W;b&4n9q7t{Uq|5xBelsm{qaH8N_ksbg?iCv?9hcVk8jE?6uCUcQ&oK zZtNsQpQD%8;d*LHRO*J&TVonxjhn)8w{^BKt!G83dRPDiGv!V{>u%~fns~!lN&416 z8*dm}N|(>mh+D>Hl8b>F+%yITs=91gn8$=p7UoS0BYJhBl{euc&Yh#vH^C&#hFotM zyGUslRHn9zKE9z}ZW#kKu3r|8{IP?DYiwb}gAU|=8xF_q3WeS_Hn6?$;SG(uZEPz2 zc8Lx$palTZ9ixAuDr|deY_)uUX>VcMr7&V05N!Jjzy&q3{K89~Q=6_H|M?6)Nxx|Ok`6pDx*7-X(Godlpqmej zrEC>!9u-JV8h@Yq+%qn$7_t(qcp6XfSD&;m@hRo>mn76krPxX;d*8S&V8{v!ZEy8S zr|qD>sI<}if{I$KGyQen=qrskQ^^O$8q(ssTJFZ)rG5{L&5aY6qc4CC%&6s*@c{DF zHq%9>J9kHuZ}%Ol{Lt9E`QBwr_fYu}Va_gWrNs;PAlIt!kIFKHoL$ik&wP2fX;D4)nw?_gdk_%*KP4jxF$_OwqP?z6FL8 zNLP`^lsbR2z{izy?JV<|2`x1W9>Q}LmXenBbc8vNAO%N{0I~#QCje>{cqq|<9Aove zThF1bR=fB~7#2sNM~1to_(rf)`+4Wc`H>NqX`bV5PgCy@2&W#!)b6;%h?0rHc+L_{ zqwU(!)<@Vs`K~QDZRc*)FVTD!npzc%_w zbsJOL*T&ION;f+7+UVb%8fjWdS2g@Z;gtn#RbU~u8L$RNgCZw{X8~9G+(Pxz_H8cg z#@MGyM_{#-hSbMk3F@=S+=uCBj#;-6wS8lJA}03Z_ZW0vr$kVTx5kQ1ox;KMGLqs^ zB33DxFLU#%2lzq2adv&0J}>uF@kefQwgGC9fG0&Vmv*DQZ;c&|({b4hr`Dtam3n7v z=U__299idZ8vV}bB|WH5OWzp>NhceS{d;2-sYQJXes4S=R;uE&NWa&of8QG`*6z$n zSAM6NLAI)m!%7nX+5Go4)U0e_=v2_;4G1z45sW{fB zWB(fc%cs@__pWc*Xe=KFUeCi{9G^X=w5&@mAB;8Xgn@`t`!PaG?SP(|mkwX1!=LGJ zCmjy0789}?E&PC@_eb_MW#hBlCq5V}N^|Sbvk%62vBe^q@>N}m`-nWh4TDs;7hSb< zOTD-cAWP8=WyCeWMXxWv>F~&K+WXPi)x!*SF{#^q($%tqDzzN1t+TkA94L8)E$%M2 zF#aB@F^g`Xin#b!xw1HHmE%m<5`$b(>JUnP2DwwQAdBc4r}|+u-yr+fK2RG{rejTs zr3uod*#;m>=?$x6I9FV*UJG@&Twg1hl9F7@BNU8TRGjSBbfYCOD3p3jko8e5S|rIe z9WML~%lTNF&PuXZ?K!oOYMi<_p?$rXxsL&mQ+>*sN2>99sy%f0#ky3vX}HBgpzE*e$sIOOVp-c0Iay?IjWz&sq9sG^BxGE-R{aNMUx(&HkN>(Ne}Q-ngmdDlib|vwVGWBj8Rz* zYY6FR^PW7Js#Ff7-6mM`mTL6CB;#v8fmEib?CY`3A2V?~Rcbbvs(9r*ZwR6;Mdi}m z+>a?LS1$k9BFaO>pnTfWpRGz+MdgGp&!D}Sl&bg+B37dd-G-zzx5R&{WX;1-5N?Sv zbCNl)}ILpJ`2gh@bxT!2;AyXqAwF_upcOxekxlGgk zfa3chOfaz`x)huQKDTU5q8ae&-19>v4kwyYBVBNEzj(ov&?@-TAQ$;dj|){aT_>t& z6h3sF=%-{=p|dXX0^!eS?(iN{B4~aw*-dh)Leq=M6{P!>>01sDR;J%LTveI=E+$8N zw5hBy>`{PW4?m@DWolbo9w{C3qZ7qtPicc6Jt~f9Nq$tcgj~Tc&hO>Ng=J6sP^S{| z54O`QVP>_Y>~80$Bvhh`CD8;%RHBw8;WXST(O87ekCAgO%y^dV<82Bqi9$S7kxrMC z{iVef=}k#_p@)r1G|K0b+~xSvMpp=N+?S5KLWuRgl*?hFFFCs*?CMK3+~oe=&wW0d zm#Ylcl=6&jyFn>?eds(#T{{_hR zdDFQstu7^p*4hmub3jl3m~sNsiu@8(p!(iJs@gPTCr6$v#K>XoS~8WEBcyOoYE@eH zm!)!A!sER$M_(65L|2uT9i*sow5hb*%H?5MwtiGF^-7AA@?>8|ZsKCgnc0)BI;K?n zm#e6A8F1XW%DkeCyv*?BEDufg51|P(GsElCn^sA%nqf@Ts{($?<0EK_b zhhEQ}!_oXOKZzXSizyy2DQlafm%)S9mXp0~-@3mf`|XZi5<xlZH?4vkE2R@%s36~zeprG}n87ITmY}QNa>b&*l+d{3A*J+w3!lzk zZ~L~y%Y};<)U?g>c)9TOf@Zegs$qqNp$m&jKf6(aFRZ|gFjdQ!Z)xAAOn!`kV8}__ zM(8VhRTkYXt<+Fohr#gB{vmV)&-~BKVWM*J_?L)=qZ&;(#uNnC~2e{M=49Q z{N$o;GdS_&#!-fxeb8N25d5fuZw7*(s??+eT>)>&#*IGs$sSVVeDbI)PjrqZ}T@ZFe7C;7cwDmeuq zESpNv9G;&|DI6}IO(%oo2-~)^eHX@T@R!QYrm$eZucy#B4i~4;-eB;FOd+T0c>i}6 zMRT}+7Nu}FdKR7Ju-Ytgs)6wSOp4}k<4j87u-i;J$zj=<b>K8Y^Wk-JNdNmQ$@+(L3nqUm+zA=2_hdQn&IAWfZ2G4Q22)Vs9V;luFl+V~^j@KAX7;jdnDyFMb?Lmq{_|kUUnpnGJ8|m3VI@4PA zk+u$`7p>u~qZ6op8+oa8Hi0g-k=scJ`{N7S@(twPuld{pnhl&N6vocW74_eE1fMggS^Q$hRvG*C~b zMpKFI{9rWb$w%CCTHd3iuJWJKmK)R|POeeG_WFyDx#iL^S=GeHJ);`pX;(0$JmqB) z8)f|!+7>5Q2yW?~kHMu3!>=IPui7GCY7B4ue3}tri41(~75We-moFA%fq?*E&npzr zO$(5w_S1Grf?ClT^GG)Lma_uhrI5gpx2Dd1pJNhiuuTmU7y)et+ni<) znp4B^8a=gj@pQGP92qkBA~R?lucjB38me@C15$OxS6zIa*ad5MynNe=IZtBFVHc@= zFS&d+V3)HYTiZS>CbwlXksuqiydX9EPf~_oTXgsC&mM7TGzxV>z ziAY0idtP}#Pi9H2rN7S6?|tN^Wv3%z?p*A+k4dX}6j4}tn8?S~jmp3aRI{%I1D9i^j-Q-fy@0~X>yj9_m|6+ zwQG-F&9t96U5wZx)E+wSNk}dGYdc>XdOu&3qNn|32fJhZx_<|*ODvHfm$OUd*Fb)4 znII=ixozo>1bMtPtSz+~0JmPhEln9950`#zLwR_&UDih5w9t4Uy0CTI(8z&uW9dO_ z+A|Q&bAM|x3_>YIwDu*>S&pu>Xpmgh_I<1`?##7wrAvcAlNO85)gvYMSlTpLe(hPV z70Z1P6CpUw^mj|mK%J+3;F*!s`nOtA<`CIkI@Xdd4Urc~u`OxnP`GjXmb7fB{Eala zg*j}P>~4^{;)2ZKa#yKn4DA?>e#DwD>BDe&m+jkQFSL&C=@(i@R}IyUuIznvl{4Y0=qFmg)&@}n?d@W0iyenS z+S`?}ZKDwlw7q}o1sRLj`AYvDC$CYmx9w5@Iu^03Vmk;A%%=hK!7kY3<488Sv7aF- zMNzMk)RL=uiovgMVa{Rn!Q3yn)&4>!${r>EAk{xaQ%1|-()*@#Xtdm* zay%?8gJ)whkHToPZg8bk4uTUpuP`i=tS!l$KVh!?wLHa6D!$*meY{-S(ABdSB1T{a zLW}r{BYN$7@o}$;2(TjZ7~u$n&as-k#_{DL3oYLzq%l!xul-`KFi~!c3;P?;m`U;* zx0nXLHVspXaJ6%uwnr`CYVHka#$>eDud#?Q8SVA{dgfw@@>9ukWgQS7FT%AyIwcae zfCWbN2%s$=EBt0RZs(V;ORK}^<5Wx+{PYV|O_one4>QdFB+IzXDXF%4E{>PJZG!*G zt<8%tXafh-rjY4!BPp^rjhim_seJQC)-}ejDDVpGSCLGRauU#-68dXA9K_OERAh!+ zDe)F7c@88mkj-B}yjMl=5m^n)>#qVtq4^yDV!*Z1>@!jW&2z0zLQaVG@vTX zsJogVsHM8i4}3Y0KrlaK$O1&F&;gQ zrZ(CBuL#;RM~;)029jGEI`(w}X<8cQy)OmOgEaKo&V5Vu=E}iRcmNHXE4#K@!{sKd zrSOqWxzD#qCtr$?Em{U+F%PF@%9aT*+8czcB6#7hH$wK&{yXT8x$+*V*mn9YPabCY zp6b7VnQx}~FXU!rw*8;Y(4(!iD_#Cq^8b!@&X>1I-8WMF0y(GjLPUw0{uk0!KaT-3 zt*Z&pWdrqDDEF4mZ>EzA<Qef*G<1*gX3|6|Xj$-51L>ZKW;V)N(0? z&W%>n#HDh1XU{%52~V2zSw~x!Vw_van~pD)>p903M8023#h0N1I#n=VUnVy-I42dv zURzDoSD^RY(UWGbkb8t)SfQ!5=z3`DUSZaogE1BlFQfHl|k<4B} zF_X_~Ij~AAlnBFv5l^2UZb~mcTILcnOH6FR)5N@+V$y24l+m&X{nrXw zyBaebyMCs9t7Y%RQOu`zGXZPGU3%m2hFjGFl_jioOnC%a7)Hz*3~(aADn$P*vwHW~ zKmd~_c-oBaqirkhsfvc9J8H>2)eg`N22hD$Na_U5Id5Upr0#2ACiv#@gf+5nVn;3J zbLV2h2Y>uA!pqBF@ai`nS+6n*BY*mkt3D3${;ZJ*Owd2==j?Y-xeuLaQtFHLOqC9* zoG@rsN!b0uvZXL3&gW88%DbqP!9KTQQmFh|2r-$3=-s#^ZslAvReN^9^A=50jRl@} zu%1BU$kz|TL8vNoK>~$T)?^m+r@UGAA24h3&VRvu0RDAZ)FQ^ zI*%0#D?fP|$?N2h?w?U<7^3B?bPyLQ+?}>NT~+B}#Z4n&mEKfU8p%}LKxP(D>9eKu z%{sY80ULUnZ$kmfhNW6ow3Quj=BxxLX#oExD`NrFvch`}3TGvbBd8;oS(<$)%~j4= zY~tq5v%I<<6&ZX_8HpN@+ax_Ib(D`M+>m;DyZlm|*p(~E5Ai9BhRH_^O8sTDc0Kxq zhlozEmur2_=*ECgzJ|VK-51u-QKg+ml5cd$O!cXT0zj4X8-_tj5pJqjT5g;j7UKn# zHxVX7Q#N4YF?um=+<@t#xj&lkY>=G{g&kK(rq>-8pHBGHalKeXr8dba{snx@QzdSZ z9_h%ah)?}b#3DMgNe(XT>1-?!;DWx_QYqx=ZY`vM&GI^bt6x&8FI1C2^%`{zNmvoc z8y823h4gMSI@p!Ir|2zmV}C0*KyqaeuLgCAo+gj>Q(&@75jTQ_GN?ZG|D8j;>uY58;QSkWe7he;4kX9 zZEQbs{tmk}tPMuasWfWxo$Mp5O3zuYL#!Fe8K^_7n#rk?Mt^=MM>MnYVR>@rAPw9a z>VS^e^mCH~Gsjw6InPuUS#9M;^PUg1a{tb=v~mkmsO$G~!+>*W%rn6IVH%)2}gH{2TM{4DbLK`vid=;JJzD)d;#=^rQ8 zC?NFv6c!q5mdBOWI%}6v)Ng6w3ZVt{>ZT69j!` z5j2Vq|0s3U1k@a+W79}xn(7#iE8Yyp7BqBc(KK98M)HmlNbxSslKT9a)NKc5!+zgL zt9Qu$Uc5CWlXtpAPCpRk9QjQ7n^`0uL34wz7AVs7V5<09y* zUGnNu-PXb~JK;}HY{gV9u|HDG{<~#-1EAs>YW}m_Mr3cd)|cOC%g=IKt}hFV^({lC z%yJ)TvK=Lvf@`(;H$y-JAg`wS~9#Z_1`Ox<*EAHdolC6-#`sBF!GF>MpHA;$&NPA?hNGP?=bWA z3^~vs^;%9v_sJcKcbdQ%c&NmCp;G|u&6-MI?~}c2orhONzg77Sp>l%%4&yIf*^hs< zRzkv*qq!>^s;)QZCT4?_z$xal`{cC-)ioTPWLJV_WXfZ0KfL!LLzdjaRQ6xo49Rxn zq9iApyJpE14H5)TJ|G{FcE6?QgXm^8pG1oe%1tDnw{+zo27w1AQn^EN!vZR4JDLU^ z!nne9BAq-Wrwkq+%w7KkzufssF}OuVuy0gNAu1(Ti5Z1Ae0KxxKZd(9XO-rqw48p0 zocBvtuEQlMm-z1w{G}_u;hzV77)QC}vT9?|`{t~EuSATe%)|0vj~(bXEBmvtteA2v zCT%R1B_r@14%c7)p~gq#mTp)6K{k1tVG}G9VA(MS^``cC+I&QA%V&8V6& zDQ{@XB&Al}|hgQ}FEk@Wqq@?c9Hy!zDaeHu-%)F{Oq@SEJk z(AX1RMjLzF8i9&w8V84c;q_VFL$jH#xXT#HW8<# zdFH7q5>$`3mjzC7=f4v8OIJ+%=!n03OU?NSvm(liWR7`Qy>C%x7N|0Ns`MX>&e0Wg zj`Yeq^`DO)OXdEd&N(Ri5ichbNNrs}`7W6bpOfR=!Vo#baq)1Q%w1W-adk(%2waHN zm%{##>lfW|>q}(O{-NSyqm1uM)BcbnEU@_utJ;^&6`*Utu-kp8?4S8`%0s{w_Mt%q zU<())*@yQ2nV&{D!`}8LyYu;Ra~=S;y*ITf09(kg?!EE3B)O?Ymf8$6_NEu-P7V~$Wazp3d08Xq6HV^+7?(nhE?iC7Xd4w=lAJ7!0z;fkzuaK>!MseYO89D z_Ujn2YCq;CcWZzY2FzhVmIfHkfC&sZr2#rJU?2d{BfdDh;-cJ{E9I+;XtDO)rAC*~ zVm-RcwWWPOO1UH_SZa&iU3z;7lXDyTQk~0kHEHo38gLozqd{p(zbvmURevH3Bou$T z5lraDk584Iedx<8@`UemSFK{9=CmL=xnm6P35l2vSTB>8kFyP zQ}9)JfKiQ@>e}^|4_(_SR~N@%=UIF|i}Jn~H=gB-rT)sEryb^_V9cqe$2Y0?HMBvC z+^Nnrd9zR2I7l`Nf4XG9cF`oW#RV(chh5S4v%fC4Ok@{)7$EhB555+9&$D7akJssQ ze88S5Ebk>hdAIxI{Y7VuzHL+)3{;OhsEu-JB9EcbFkb{#t#b6_y6oXu7`fSkp{1eKBId<`Y$|2gZwc5bn+rPd4ukB;1S_yr>#lsYofq_* zGZuauj!f zUr%cI10*#cIsI=^CNu|n-eP05q-KH8n$%xI!CK+JyZA%TfFJv@7SyCxKg6V4v5w~7 z9?HR-t0g&dZo9wRA*CUDruYgA)kzP5gVj^o(Lzf}0iiXe7Qib}{MQ(N=}LWmJl58d zk~3%Ot9ItWkMvBf$wj7mLOuV@)b#<@q&&aWlIjFPYf}4xSN8DVPW+`S-|=Iu)&-KP zYG`eK|5#5c=m}E#z18PZYSG`CQaaC-z=xawp*5vyz$!6nSXsMe=SKXVzjx|Gfn8(bNQ<6@6BFN(Yex4sX3Ny=1Of0jd}I3 zS(&E)=dA5k%)q^npSxzG-<&cgA}Xa>6h;z`UArAt=Evgz3no^(#Z%xb*~j&AB#VBq zNvdz9V?H)f|NNC|-{Bo4ypn?wUo?5~G1b1@J9k6a;fYsl?cMQ|!B;e8Iu6wPAII%K zzUBUujZKREOFStD5C^k>TIb}Ca5RxlK%(8vEQL7S3Hb&T>NxVIk_S8ww+bDi8L3QE zDGt-(r4A*@{~y`I%~93wapjpR(Lf}WTCLhh0V5z}BSWi7xoDTDB&N$Ha>Ot&U)CS=@C{ngK7UZ7w{m}}M19)!R_-E|KS&PmvnZ06%Bbv-fg}Lg{ z{S6kBGQmBiaVIniqUlpjR(?1Y!Jx{kSro%ls^>u)JWCA2!sL>=2t z4m1Zjh;vev;y?LdHrpX*HQyM-r-TkG{jqM&J?5L7T-tV@9VmDuK8C6q#V~2gkLFcI zVKPWx?V#O26Ha$3ACAh{YjW`(#Th*)ehwxQ~K(({{~?Oqq{YKA0SJn zHZOZz`5Q0lRHG+rw=Glh#&&+WU_oJ{#c?H+QIc&vwpPS zBz&DSzSnYbiS;tXpZ+!px1y1thtzX{W2s$>3jZ2qm=_91UC&NAro<^)4qpT!!C0%U zysUzxLeRu09#Q6zMMa!Jx_*y-cNTl41>4MXT*U8ExdW&S8R5Kkrq+k|IL4@?`d~nm zhFevfD9Kg0ndg@fWE;9C!D53|Dsb{R^M)NUoTc$;T*Su}sS?s_i$f8aNmkkqUKIa@ z*eAtrpmOfQU1VFOE8|6t+{GNf2rKP0PmS*m@XcwDCNa~|ic9b`zj7CKB$tV6eXyE4 z3X9RaYBlt-Iij>EZg6P62KxCv9@~b6fAFO;ntpbGig&KMW5~h+?(l+sf(BUf@gtJ~ zyv)nIyNqx%IQ;&N#^hXC;V<`;7Im(JpXdH<^_U;g#VmcWOv9P^^O=D?+f;kUDtP_LaqdfpQpe8~WQ~ z&1)IJxXgxgE+FOLsRc&BAKj=&1>xFttd;zRn?@e1lLr(eKd2-53o^{B7$Ya6vfw4> z3FdRS4Zxm;*HPHCmi*W=)pT(yovk3sN_)1_lL}&|RC*b$_Z9(eYuNgNt=4xBJd`g= z(nD`CM~YrbqkY6LMMrJ%u}MB)h@AdcihaTsYTzsSNYWPC;48eP-Am}Cujp28@@5~K z(M3U(Vm}g(Iqop}C~W=0xmMRYOQ=ajQKfnH?cSTj zg)#~3*(J2I$vVrW@(A%X%tRf7kE$}_cPnCo6|tESd#s4zRzx}@R?F0*s(2#RuS~6~ z32zVg%INf2e^Kg$(9&D+vS52HV`y>4+qnhxoIt1l~sodi^?TWHC zBVc|v6?Y@k6uhx0_l)v~qgf6Ru6EMO+2#t>g^%R6V+iu7Ui<6I>`M>k9UZpjxSFD) zt^06*tV2T{zu`US#m7AQwYE4YMZ}orhKg8&bY`mgR+#wJRw|ZA-_;lMDrbYK)^%}v zm&etcea|oPS|!Q*n5Vl1%-!{EAR0MqFaTL5mbjq8Cd)$Z8lWt^(NBP2oYBD_5^No-bJO>Q8uZS#`Wn0IvXMCNeV)3pX3b)xlSJ z+8!%OBN}MC_-&rH$LhO(Xhaj?Z5suEy2q+C9(a$Hr@qIk#y?2*zk95%;bwHDT)2`-s2H*F@?7^Dj$Nr@6(#Xi~ATJs5&ibmFJKckM(B0zF@Ml+*9 z76^S?_Qhfw>*Bv?Z#2A5_E&TxT9lS-|E71*BGADfWH~KfP;hhM+pyMCh^B7N3>g&5 zH9A!3jV7(Ye$6Id!Ljjv%?8>|%NmHzJ3rBA*(eY5h?)ye>HHJg+g#L?vPRI;=EBdp z;1*rQ?=e;UQZy{uegr0kOK@j6)xPow^XM-{bA$BnFgh3`+DP9HBi9xP+Yh4wEku+g z52Kwe;F*3MN_j0r6KVQT3Tr7sq?SWzcuO%xIzNPRS^~d#2)1AY-hBwgw-Vt}*&(#H zm1ruRA51x|7(bXQ$1;8}#m9=qlE+}$7%RF+`v;MswP+-b8$^+aP4x)wBtRIc(0PaWoGT8M- zU%J&nT$Jql(%z0@td!b^YIG7IHQM!Ibb@2@B$UJK{G03`kOxpXee{8O@B!Y}21ed} zXhkQn%VkJ!sJt<67>xpLcyH>_8Eo(OqRXAd47pn`E)Z3&_Vzsm{j`5YrKOW{bB92Pxn9=3nAP4})~>JeBDs z21*sX(5zm<|BIZ?5WI4B)WO%b$*=9kB%~a`M-bzOnoso-Zj!XGBi-pEvL$0j+SONh zmOjzJhj-cz=Oua8*;r*$Lp-Irp6x(S`wEh3b)Z@OFvd96o?iD818uvs_o0b19sMY+ zzbIX;WP2Z*Jm<-#_w8T{aP-OJQCb!Du0e&#h~wTvZ9HW~;!mlZP3QZI0}jXd<@q^U znIOXa4&nukgSsO@*?NwBGnQv>YTM$~4f4DOS*F@K^VxK0mAy4YZw( z2X$ZSssozO3^A=Bw35iFIjM<0_!r`Gl!=1Pq*7N@IXFUC0indHaM?SbNou4B5No(s+{i$#~ zU)Q1ZsiKLUdmYSC2hEm4$doKHB-b!HnJn5$2STabG%-(d-bp`96VYyKAV$V*mMVv> z%)nAT`-zH9$58mYy%anhqnoF-=;?IPt;`P2Gqm7h)k60lH7zXJLkTlPE2(}h+B-u` zk?w?0y_w=iDLjO=u|{g~vw8k3QNEf+W#KpL$|BS-ZWwkyl&ed+BpX;q#Q(d=ZFc?ra+2MgVS#wNE_0`Na?Qt z@|cSxzYCzwbKys31klpCVzA^FKt<+>X41TB)M_4_P?Ku3e4a@Dtdf7-Of}L)yh8@( z^2JtKo-P_1M|{tDJFaxzM)%XjQz>*4U6?P1do0-ixz#Rp)Rf1emfp~jVS3fwwT)&k zK-Hc1EuCEewXa!7Qx}RLDI<(_Efm9?TZh46cY}SkNV%^}+<>oli2&P)Th+?tK@%4V zf7=kt+u=o`gj3VakR#cCQz+eBB)$)6^=%&S9>qSzmZ|k#CLO@Nto0yKS|@x*86PXY zsXSSmhb0p1bWXXV9{oP9Z2y)HEfx*RZ{L*1U&fyP6}n$oHs*_~pDLR{&e3jeq4G<_ zGHJs`%2*=iN_{p`a}sske-81%R^Ms7$7#PyCEoQ5Z)*R02(2Q~%rhNW?o0;3=Z3;s zaHHuX=V{o7qmCCdz9GA%!qaxoraba&?^uQ!FBQegoLH}B$PZBs4O1iic;{1mbjsz1 zd;T0o!6 z6E6Q&2P6EVj@!S@e{`r}g+2y!3rInbqdzLUR_E!Ry781$r?pgM1!SMTmMX6hBP&FJ zVUx7sh8DeF@hbY?E7%;KN2S>6g}q}vWv)OoU1Kf1TOq2u{ICifxe+?VrQCWo)n6&9 z7P-47?_+K@wVEOA{&MMZQ2h7A^AraE=fv}%eCVeqo@1BiQLCAfr&Mh@&0i(FY>fac z1|aO=GL4mqiv}L+QqDmXW^`%~>^%6WoYb-ZISIXEnYrjUqNG8Z9)NwVaG0(uY20db zH14gSt*b@(W___gN6Az*fc->Im9|S!0I)sWRSP@e%DWawbz^72dn(#z1ppoV7hg`{ zs7xkuStH!TA^}qi0sU?TbEK9<1AIt1t3R&XLQS_(&H{l;GoY8z53^zrL#am8bB!qJ z)EX~cyXCkLONqme9PlBCyyAX7X{Dg-Q7(=Cf0BE7 zt)Nohr7_`6<^H0)kIJouYAm=9WpNr+-U=UlYaV^GRg{;UuTa)jQBHb#nQm?sN56ER z!`k}KS$YG5|6^IXsaEKxmX)2VTh@1}nq|!fK((y?dZf==R zl(Dn&txRKFs}U{zL6j})U_v9|u{WM0&EiN;P~=%3xZJDGqH{lp8q#J#4m*U8+wD{D zFx}S9r=GfjG*d=od$B$7h`mdS zhg#xaI%*$5VbjlA#1g4Ro(i}d=SGFEVt5XhQ0fFn%yl8UHrJi83mBD#&-U0Ti>Fy> z(8y#Yp0=XZsx<~^L@epUd@}A8LSlRJA*F*x5QFm2QnKH07~6;ov+3vD@t{&wO$kz} zH(;w$zGo?$s8RyXpL?mIiSO_g5LI;qmWd+NRkf}f(izAc=TsWDQ~3Q)eVv@mdHb)v zezd?p(bqB+{=fAVnfyQXRSD?-sjng$K>>ZWJM?*dU7M;)|B1d{r0DQZ^>s~0d{$ps zDU`J_u| z^pwhTN{dyvUU*=|0)A@j4W|?+Jok*Mh?mJzZZ>;VWA_Fc5nWf{tG;Z_Y~Xk|jl_FK zZ6;P}x!!1MdybFtfB+NJ;6?p^7G)Dp0A#Im|A!bG@@e?Ewkk%x6f-oYT9xDE|N2Ew zp5@6GLFuNlDO{n-ag7?yK85X`Ekvs`YK6je5ME~CmZ+*f0z^t(3*{%}?rnj8qGVZx z|8FIm@%0^D1E0y=vcxJO@PZAe5xKZ!5$6~?M6qqPu0c*GW0 zr82W*j-RNfm<9fcI^X1be?*5W@WH@OE6v79s%p!qO~7;KE>N;dMl5Or%<)&%y4WtY z)?_?rKWBLhbl?A3*EAZ)bRJ{;f9EgE(uRDJ4=)QmKi>)L6r3#Zd`~}`;eY3Q@lW$T zh~0tOG_IOhDC7St-(P|BbNQaFrt_uaXY)NInTq|AUjwYRAFKYii{>u;Xw?k8XqZcfHh04!2A)9I04Kds5`rlPV`Q|^pdC}^#-*H)DeYZer?PZSH_ z<_1P=QG)ZdwMbDZqsi>r=V#3$t92Jr)7X9RwdV19rMKkB-W7DP##5hoYkYA)FP~4^ z(v50^pq7uP>Mivy2D{6gSwLE(RVL_d!{OalN$gbxlE;2gwxrvqqL@bQrsn%aElYLP znm4pbO0Mqx3l;CCaeDDOj>^~Od<(E(@lI5M|1I7{TC;%^Fo6O0W`B2=0UC9HNIWD1`)kH+fi`J|X7T~vIHxKJ@?{2%n^*!5$~Q)L3}$`pZL za$i$5InRQ&1AdK`rK1dx>~$>vwUB( zp6f(oy%G3S_IF=vj6Po{Op|HE0a2&+GnBhpW3>9RgE{V3z3Bu;WdpkxobecqQSf2? zfd}AaCexh*qGz*JK^PPDJ{gs^PrW4fSQFu5qaMp>xF-XQ_S&F#k@*q%P?y$+fjY&` z2TtW6^VK6M)5g>6gQ8O6HmEPX;M`$qE7Wt|0Q)%^*-5erm1$h{H5oCU>6&w7bbwirR4+)RNV|Z6J6MW#ga}Sji z-%+_XN_7DCqjs>Vcg9%wxpQ|$cCyde3HKq8=yT_)C!$g(Ic8kSXQ8auBi2Pk75UPF zRC|Id7iBVt7zqD#dsCnqF`IA+QC@W2Lhho=9EWFC0>O?kb-W#w4O#r zLV4Iw!hWf*J0NIMoO#8km?k~4do~rh1KlV@B zbXfQ%PT|y{&;e@Ai*(dKFNd#&s)-d^X+MQINUuM=p&9s~kj6taj=Y#K3 z9gnnOTV#HhVJ*t8uTZ$W@{L7yzzZ$9<2`58NNRor)3%{so97)7vkcOQzscj6s3OIW zp?AlyMss&K4LT;OxrUBayA?;Yx@gBuz}n&T-7!(xA!H16_`?`F%keJh@v03cujAs& zqMktN=AmGo6R=ValQ0XW93Dk0j*FEgg$*nPBqx=1>}T zLU_AA(-J?UC9V!@HV>uECq!_WCOf%Z>2eE}b*NtxXFnKW-0|m4;AJc9q!%YdY+^wP z-VagDlKW=dX~4g=labSxrOIV}_IP6KfbcK>IlfexP(#Ttz*5J_cv!pf9&nbYZ0eCT*fqAEUg2)UgS9olxei>0HQ&?*KfQgz!N9RQcGHfPL z)+ww@4jD|hPl-xj_)UQIBtOQQYoep7Ze-2Z;YTX}tMD#wL`rxcj3Zmxl)@;U*31FO z$0y^&1nT*#s2p`@5GIar=V88cnhXb;Kj5j$=JFf#tU9M%knM90wCYGY_p7K?20MFf zP@FFIy|>zM2jXuQ4B_RB_=)6mS~MgC3i{EfiZ} z%4es=;u_%_q0mbcEy`9Ex^qC@$E3P8qp-ON&LV%@tQz{U;k4&B5nsr#{RU9QGvZ6n zwj2J-?05nuoA`{7^4k!ae@2u_eD)cA>>sAfu^pAZ$clQ}EYi_=+AP>bR0>r&D@3`*TnY`5Dw}TDW*{_wip$J0A|_G0 zv%<^qVt?qN{&IyTofQ?DU7Ca#msmWkIimy$I=vql znQ9+;f*zcO)8mxcNmW*D7fz3Fgy47z()?t5(J4!9L;TCfKj-}Nz$JI8GO-`x7Vv;` zAgpx{4?983dnZlyv>D|~v$I9Tz*|W$OdeHa4#$TKvgY7V2}SyQRm5N`VlgAi_otiL zqG8|~j?~kNQzM-~!h2OjFDqg_NBW^3wfbG$k+uz{8t1U1_e>vpc23~-p+U6v4@|_i zO)&rZhp1+dcJ-#We~NL^fB`i6yl83LxgXZGUm2>JpPv`s8zj+_)?F06+%7HWRCo&U@?BlQ})Rr~uxQjqQMK@y79F zW{r7cN5!B%)$>taccmHEv0Jml8oG2{R47pgx6Su+4mj*QgOB>N$!3%32Bw2o##5sk zqF4Q-)!ff;aSd8zu*vD6+LF4=XVV$HVOF8>`A$1IG1QPc#&Oh`w5DwRruTIFhWOer zojTpb4imAE&fOHF4T~x2mRMO(80=i~?Q7L~T>;bo+mVoayGTavFQr-Eq@HUpJ z+~?EJw?(+&IK8@!Jqu&gsly#nvv{L)7A;@V71GTs?_mAEcpz@aE{JfRM?c&Z_nNZ$ z3O3aIo>Q`|X3JPG=ACOUT-{MZ@P@-RZRWKpsN4H(=<+=gYR7S@>;qAng6<0kX?Hsc zyAN-_upM>fa6~(rbYC`M2q4JT3}uHxSfwh{6p=i-XkoW_i9HIABhUF@yxn{m9={H zRCC19_Yr@L;m4;+?RHjQq87n%2Ny(y`Xe;;Ut~IL_e|0bofSrIwjfpij6-KJ=1RH3 zWG6*^X|DBDJh7EJHKU4osQ>l9)Ez^V`PV#A$slDk#`zhMC=H0#9Ya%#V|XdH7^LD2 zY2`m6#m#dXa?ycvQ92pQQx}L2hg03x*v5Gakc<{qTnJv3S>gHhf!$(OEbvO3rZnd@ z_JF4+(}~w2wt6~9abhQePwiMOQtM5!I;|iWBfsa2YG7{uMtozCVv_06TM=N`XZCo9 z&EsxsrbC1wEJ8d4)jaL~y43K!2oH>Boa#68aS3(dP*p^4Yb4bRx2mgoVNZ_K$%<3G z@asB=q#`<75vmuySx582S0gkp{2`PMe848@0r&!p>TK&-bgDVq+UBVyQ;gmv{T7mK%-}LG#KzDp&@W$~1 zYf>wFQ;5_ljAq!IYPGjvD!@Y#-O_FqsPCL+etrKVxFE};>RaR3s5BlJd`wz3+-9^% zv&UjZOg@si2GvFwUYJMQLOdcr!^2hOp_;AY8=Oa35w>NV&Z{S-l)xJ1tRkis2DkW` zmeTc{VJY2ffmFfK)F9B4acb%2<7NeNK`}xtT@|60?yx|b=V)pecnfT_(#?ZP1V>PN)%;c3gl+l21s%9xa z-BqL`KPb6)jZ3paJj3#Nc0K%A`Y#I6JLS`(Ve6Xn#@CV&G-FW%T>a=5G(J(E!W`+TFdpDkJfT+ zL&Bf5TqywQEtfgn)s$x_>zM*GQ9FqVvne48lE+ zYkZDotvht`f2G#M-8{a$=?h!w%Q9Le9a>WB2wyLyws*F9U*wqwcYqHyl+ZfDP9Ba#ip7&BQzwg;l#qM zL~D_v($r41v;=G4_NA=~h}G)&Lw?Km6z(z#TxC<&%H}$=`3O1qIz^(AVp2|NZ^UKsrdq~-B_syF6Jo@r@uq(a@9AKO>5^2V9<8Wl ziZWa_->ZcJHf%6g4l}hh^oT`v;EfVANo)W6oNJ#hcV&Ll=-<4z(yQJm z=lyf@g*v9ohG3hyP+B5qstt6kl~CBb=h(}}h$Jgw3$ThO5EOJC6H$8&TDgDw;d#LU zP~0186Kiu(aZDjh&ElG{U6S1nKvpG`Fm*!QCOc!n4l33c6R*tH)qy9F}Zfe2~ z`oWE;d91|fU}Ai z#hg`y>X&u`k=`$z(tTksPoL8TU$ljf^@1oKYYLa7t`Def8`GF3HtFCyw{Y}w_qli( zp*E}e3EBgpZXGS;+0IhYwx+r!xrW8DrQ|-x7fev|hR@SH+i-~d|J$=oyGwQ2nG&Rn z6KO*`)7r%N`4Ff(OIj16X&P96N6n~pLclMqgBatn*ON)xSxMDC$yktTo7uYKNh4`% zB~eSNJ#*8xV(JqN;O7W_w~%1HjOJEqwZGzLWmuFMGEGLbl|*G|Z(&HDuMFYRDuo($ zF!|Z;y#L&M`VUbRpJ={qUf$6p(1~G*M;DIW=qBy!WU5wD{n|EedAxcXIYp5zjdE0xsR7id{^z%j$`=!VKY4rTmS2<|Xh zpgmnpo>Ivhbfv2)_!AcEuNGvn_$pP7GgY#VZ2MfLE^+8A54}!FaVF0qF~}SG2RFK! zO3{Hhlga6LHE-OH?z^-KU5GRJOSzZGwwq~y67>5m<{OhBK{q=B!9`XiH{*BbbA$s_=9*)z)?s^!nhrP7WoH)re zpokPSn;s>bx=RtW&CREozL!dMnys--U&&jEZESk#?;tEb)AY5JJ;ywIrm3i8ROV=e zK&(qK^^;=fnT@j`+hlw^qcuO+Y-`ZZ>XagyVNs z#G!A2aM@w5vXv2jJFJL1+kpt$X>R@b*NW)%Gjjgy7xUJiStXA9tcXK|E_6xE!bdRp*GUhL=;2vC{8JAv>EWtU8vRl|tb@(*{JWv! zZ|mVbJ^V(e>*Jx(J=Ec{22ZqkqyzpRWA6jsRCWIGry)Y2F{x0HKLHA~h!C(s`6C3W zQm{b8Dpe7p7OaR86}4`t(NyUi)3`CM9;VS%*C}eYRqd2%rd2zw8Xan#2+>uniW(K# z^bZg?zxR{eGARB1e&4)a@V@7Bp7WgNIp^G)+nbx4pA5Dd>@ax1;NkAF;mB;TufYKZ zGYy_>aFoHZ22U~QOp>#uJVTgiaGt?M2A3JU)ZkSHuQT{dgMNd*HuzhE4;l;_{FA}I z2<2?;B|~`C;F|^;4Zd&iHG>TX-!b^H!A}kDGWeyzJqCX?xX)lal>SZo4dJlCbYsqJ z1_v1&YH*an9D`1SSq6uFl3I-UMtP3G0)vGHmkeK;?B7&u2p1Y$VX)NT8iQVg=NpUe zMx%U-!Hov*HK+^*4L)x0NrQhG&h=b*g$$w2;L8T<4K^Bl-{8jvKR5WT!I;5zgQgKF z|0ft6V9;(b%WrH9GdRlNID-=mPBrKU>kCh|Eh(n zZoa8}+0E;(yfOJ-;ng=@c}4m4H{OyxH`{bnWiR@%(z&Z{D!=l^!t1ZN`PwV5D^IpI zq+>j$w56-Ay;4k0Q;ROLsh7UE$hM;YfKRSSO<(pJb#|$3{DAbbBjp<@51noqC6?E6zJHrgkh z@t-^eR9@AF-rVg!p0xLyN>dxAJcD};$F&Ace@ZznFghsh(SbYzR9=~18g>TvexE95 z?@h6EPm0^WPI2>(LKffNKc|FRmE!sy9mo@#g-j{Bwe*4jp< zsm#g~)774uB+`X zziIUFuN2q+J;nMLQ_S3E@D)Qh%BA5{x%i(cZhs@i(pOVl|5}RG^%BM4f%Kc~fBoAj zz2a^4@(s4@%zJNE6K}LF_18BV_C|em4^|lUv;KeVdbayNmaj1V9}WM{cG*1w-SPHI zD{itq)-U5xZml?KCj7NVdHV;c5orD}#msk%^1CV4M~t$;?0=`q+3%-VV6b?5s$6Q6 z_ZrMI{3km%r5B{wrJlOYwko66IAJKhQ`rmG}Z~j(2?6Vy z(<*HL$f&41+VkK!)kZn9f9gGOb2p71st=`l$UY%eZ!#HnI_deP(mQQMX&D84mh-=O z2hS-r%GFsZ>+J?J2c*h*8HTr$QfxNL>oeq!p^>WDXS2ODWut9)T1GRU#mI|!q-w|+ zT-TS^_92GnlT+MlOlRicRQdSnR8Lge7G`WR+NVaA{Ec$$=v2FUgWHFu$`!*?%yXnz zV3bRTry?!=m8~)36Fv`+SGUty+*m9 zIAvXIF#F6@xq4oTwdbW+YLqMHrM%Yv#htqklA+UW84Ln@k_Uz@JQ}pJ2|PO{M{FQU4^7jc21|D;dHT?gG3FI{#)e-70*| zWO_*SCX?xT*zg~d=>zEaf{Uflx7%bgzfH!_0Yh*GOmuLK0lFrpnGV3p>1n1Rjf@1G z3Um1Cnh%!w8Tt?#J{W~re2V0Hhk*EuA`qbgjKLPB#_>K=!qh}zQz=gp_<$)}V>0>v zO+ah0gHagTjz6X<{2{y+9hPwd<@ksInYt(}C-KB5`?SC6PLs*`pMARSE;@t>7=}SU zcuc^mdrYR_4g!D?SQDV*&*+dTi@>ViQQk@5Oj!g5?x(zqGE)|Tfd?r^DKlje7~Den za}s=*^5^^fI>FqzzrgXM2++lxx&KRs)s$h)6O^0xX-^GhXnB(Imt^)7WoTu}+`Ad# zXDCDOUkMxr|H-2MiYa@Q1fc5;>Y;pRGXV?VBJmbKnY?W>d0O`A2y+~OA?7#?!=EyA zFtLlF` zObIBz77%DB)0{MutBbt`2BAAQ%@l!Q?*Db}ClD5)3%X~enfyUE5^O|ZNJy8Gi2-N(A27{*joZ=t-3{+ z-m0DKHBso6y{CVxE`wg^6CH-2bwI0bka`$}ZWup<-N3_!bvAPZb6^muvKTxWyqit z24NwLLJxEdYSrbU!zw9f;ShRZ1cqP>jKYM}560h_j4X7*AS{GY=z)$Q_>=k}t$rO4 z1B4nFfnjJpxm7noH;lmmw9KO(I-qqZLkiu{4Fj+YazljnLFX_6g+bT=6EF&0!x>2! zf;k2F8R2IL*>I0w2w@mjLWhH)gC1B1Bd`TJN0K4*!mP8%2o^%uC^CaSSPo;b20BNR z5cI+(7=kgWhnBOc_h*w48(x?XL(mOluuKfbkdf5GDyfGdsfP_xKbFj-9>%2}TIUn+ zIQ&4qF00F66b4`-mnnm;@gxNO)>CLuh@%NKfL>SzU8m9j`d|$V!!S(1CaIrDCQ=VA z3#f+W1~R~U{nlXTnr`?*g_n@92kZ!n1ChF zHHFnK^{^6J&mce;gfY=)Gex|6MxYbA=P)(GB065g)WU{Eeuj*VD1vh_9ZLnYF2(`O zfkEhk4mTOV#1*Uw9Qn#AJ0Cd10%!eW9hGAF+BhUvOYZzMSg$^#9gs#F4TCZj?!^k@39>%-`3_aJe z|GW8<#&I)|LEkSK66ksg2b?gvVLo*HjUk3k7=*DG2oNSy#%{99K*n2*31h0nA)@pdb#1o3q9Nf6of8r?}@{RwN2-* zMCVSVav1S*KUa-xjBL{}m>7+LhmN>uF95CF8P@_G+}!B8l+5zmbQSazU=Lkq^VFlu z2xLATLeJth-2ffjpzOGuz?RYx^qfZ^&{s@7v~mNsqm=#M#Xa`rY=kdw( zVQh7qZh{FIgYGf{JD-MEw&^nHTGOWMpqHo|grHyF8_a1340*?>Rjg>}&JFY3!_*GRxOvj#rE|1YrHj$i2U@1lYYFAPEVp14j( z`RBMU`6WZxr(K7H_I7RAz{q5^>k=3l)~;)Wx$Qau6HfG7$kfxWV-m=v?b=7j*2~&; z1N4=)>l^||tf3w{)}mwYPh8!uvu?%lH3%@g4g(nQqTfa!*S2dnbY9=CgD|$9dg#8P zT^IVWzp-6c!9+RjVd$22ZM~iLx3}vu7JIHt&_0kTO-@*Rx_y-wM;eNSY z$Hf3z*)1KfwQCP_!a8WJZ`V;6dA(gbD+ufj>S63HI)I@l9o$K|nLsI9cjK3`8#cfo zY=PF6cI~)}exU0v9ERBNAo#v+*Of2~gD?Rj(E1Gxp#x@ZB%p6;2(5d_5W1lchG7+S zeMi91`8|P2{aymAMDId}9vFc>81sv;pNxJ*htLgO8b>f>>d-APX713=yO|uviM@u?%iO}7lLojr1hmJvaF&*7QfY1Yj=XdBV0uKub zBmv#fbpZiTA6bT97+coi*ID;sfZ&AIk`7%69nb@vupGK!0D55!48Sl9U5F!Sy{JPw z0*vIvw1=VPw1du-9XbMCum!qd0(xN9efV4Hr(-q(2!${NJ<#dt&{Z%D!!UL!nZn@Z z*!>ne=z(Ea4kIuCqp$`hU>G_|8A%v~*5BcGRfo=pp4ChVwED|1WWxcQ!~n*i3tBc4 zF?2vL%!dK!hM_CzQ0mt(q|kR28A9jPOvUeM4@;mMdZ7naLN5%$0IY-l5E~IToY&Cd z{bU54Ft&~iVencEVF*T~9=5;;Ou!h-dVox>qaBPvFLYl|fG`2;pz{XW!RU!1flU=&&&B#?4Cf>D0i)dK^+#0~~wMCxG+48sJB!mNh~ z1Ug}K1N}kQEd=-w`+tm$It0gUG=vc!5h@H}35-E6Ou$O$xSar@3)V^b4t6)_gIQb1 z)K7cpsh}OS-o@?-9WW~OFb-YN>i+|VY~(;6bV<3A4qzCDq4!rbfWf=5dzhi!)S-RQ z1FN7HhM*5Nz#xpmFpNw2H>{mUNC1{V@4fhk!2tVzgbnv^aU8@UbU`mHfj;PkL0AdH zFev5U(E&`r1a$tMp?#DQyPqk6o+_pSdSMIn!2}GztjCxl=!B6f_J0o>&Iic|dK9~l zl(*0U^!|EHVH~=GbW}~d$4Cge{>W|$1J(G4z9$Gs z%1qz`EgeB8Oi1}TGX66i zLJtf+PvFp9$6A8nzvK5w{J}!#kFw!mBL>T10tTStMFN8^7=~dOhk=(kAU#ES8wVoj zeHlCGgJBqeO)v;!Fa#}I=@2?#4CceYE3B=pa{m8M<`5zHDsv9q^%y+O&_Or!!ZPTC zJ{W*iFa$#|0vn+Fbw&U>-e565L-|b>F-$aI2c7@I4u)V1Mq%NzemZ!YA$}Ieum*Y? zF%*74#33B*BvP1YM~4o3r*`~>5jd$+mqF*iPF)3~r*!HV^q$_S3;#-B(25`5)J|PV zIS6ZD7)D`Y8uk9a(IB4&FaXP76oz49R;SLYWyoBe+5??ucj^!f!UhKs;Qb<70 zQNOTLyP@@*PF*hLB07ZbMV&eVLyJ3g0(#t?+W9=?3p%yGk`2eQP920USO+5|LhawBFvSb6&*H9Rvn_ z6$A!d8#}rF&qkt>h+e|rZXCnlrcNDz-aw~rf!6yOiEVW7NT>Ef_oIvuO#Bi3AGCV{ zUHE54M!1y`c^N)ShtN~Y2te!K3FH+5*oF>culQ-eM(AHO2-86$70|bx1~Ae@gMSjp z4myCI7VMcjFAU0*!2lx@`(VX491QAlRM4fpFf_kQ2Vu0ZOE(D5>C%?h3Hbajoev!=@B?Eo03(-n zY3my#Qre{xbQpkz_zl6Z)UU!X_5Rpu9JApr>(Vh8f?03UU_B0@>qY{D!J7ySdVWED z108R|f$%rfLr0)XH$iuO7e7Nl2aR3Y2cxhGdOpR!l)vlJp0@}TmcJ$M|7-*htlxL( z8t8yw=!8ws1!FK2Bh$C(5W1o3M;t)MPb47apBZAQ-`k}fjp(fe2(4}Slk$E>M}OR}1JL_tbm3F` zwew>-eunnYT}xun^WuKa|C|hv%pmQ%Bz!=Pm;%^`JFxZAYjJ9J3 z10DNy1iCsIDd_XFk@Y!_pc5uwA#`<-0rbIg=-kiHLF+*R{Q`%u3_<`052Xq~D!zQVRaTtSHE$sj9 zQxE9;7BZQ5K$k<;qyxG}IQf8%3QxoEYZ^c|Ou#Z2o`qu=JmY}2d_$(Q59mVZnnR#4 z3_~z97r)=aGY{w>49+897=|t1`iZRIfVS?T0_MXQv|wO8n+~M`EJu$N5*V~Dz=7Bm z5!iREiNy!B2PWL;FmNu8p?4X6zh|gR7+L6r0hqWDI~cr#k?^w-X2bde4lkoa=(_xX z_Cn8Uh7fvT1B_gOU5o(A4rnKIK@ap@i6iK~iVl9nel1h;6B&CM5%e&ufst#`sSjL# zK!;)Ch6CCkVLMvtWMmmC?N;2L{0KXzo;oS$c4<=v``hLwE z!w8H)_a^N3QHCz){tZ(HeZOV#LC=;0ex2XSPz1>kdLKQY9c? EX$xPv8i~YM4`W z_mj*yj6TH>L*LWb$8q!w0Yd9vaV-2h9k(+AFQP-oHvGZBKUh=H^A=OxL11tBnHx4@ zZ<7ghG&1Bc`VNjear7>R(EA>ap!I0MH48 z-{4Qm&wN4?d{#69h2ip!P!N z(1SV*1H%sL7)&@0YS$qW8AUyej-?*Da}H|jVf^PF)E?+L{h+Rc?x_cLmX`g08Woxj z@(=1tXq|CThoBewOx!TwI;fkVb^bx^OyjIm(^#Rqi) zIxaY<-L#9A;Gg;gEQgK@59$DnT}~ioKes}Z(t$XD?mjfQ;-C&f*BUZ|p0#vj;Z6uI zBX9!s>tSE+c=#2Lpz|q42)du9+>bzO$(UO>60i_@Blv^X&kyRjbo|9Z?ZB_|zXx@R zAIDxcDupdLpo7pibO;k|bcDlbI~hPn2OYs!Cmr;cdpsBs=-7`V=sR#w*FbNdgpR`q zv<_ed`z5p!IY_NCsH;N2>CEvn$T|OTb0md(7BpGU=&utz!i)Tbd@D^1B}5a3|`5QL-!hn znA>v_un-2XA|U8kOMB?PgLW`Vzm`Gx@mC~t4jaxp=@=$pIrMHMau}&(DxmLf8f1~d zud#>0O-v1R|Awi7Vd$0md*}#8?xj6+-p9yEJ#=xuPy&`f=Wnrxeis{+Y`9?%dSD&& z!3Ye&78rpE7=z9s=$jcC=zM??fW8OG_+;uIA^@0xA?Q&IJ@h_IJ8n?&2I&U|Vck&f z{|U1ZL5RT?XnmB9#1Li;qhpv4U5^nt48n34g#qaJBXbQsFf8@3N$O!t>Z=*K;nYJ1 z48nXEh3?_(|IWvmYXmR!!4Ry1F&Ki*Czvy-hfx@WaTtZx5p?h;rUZJS3x;3`j6pAS z)-b|S4}($<>!cn={9^EDG8Y4w5CfRyzyLa-^GSvldZ7n~V7b)8fYd+52uM8)OFe9Y zj;;8EKIj<98i4sQ0o~B`H2Z%U8$LFCVgRee0EWci88Vl87=S09cVT;s1 zM-?`VGfKzmy};-YM}QO{6S}!1YiP&VenP_K{Nj>YX~BcBat zJ#zvBunfka4|-n55e&mHOu#1SdV|#s9Su0jAyDXniT4;W7>Kayb1B0dX#E7gF!~?X z42*qBg3xdM3`cB4_${Ww@kI7H2GF;gh+*t27U3xb_zfMv;J4HZf5ZWF|3pSG46`O+ z*Tqyqx9N~}pNcgFfiWKBR*%0K?Ed=8%rT2+TU2fW{uu`OpJB&&I;RtepN-H=hH4re719y(!u)(3FJkCmc*!9hg5ITvbQ44CgE1I@ z7KSzm9WVs*VHo;g1XjT)48a&|fC(6d*7FYW`9B*DHu&K?lN091+`uUG!UPOLA5-Hi zrem3^^U0hkab3VvK*uryg$d|mY62G>(h-@eO9*TV0j)fwz0iFbBLbtP_-ABXs}A{f zn2bHE$PD^m3mRuO`y}UhdD3+T`&wwU<}s5NErdnz|R`$VFH#w?^TC% zB@Dq9=)1;G2Qv|_Va}klipXIA#$ohP=1LkqM&vL8UFb3Bf!04V#ItY&>!9OlMglsa z54vFf83b5MKrjJ=(ES|#VARh>l#R&q7|fB`_ z?F{*uH2jbNpyMMlmohAu^2Y=UeJ}(AumOhuLqhWyp-&kB;b*J?7=bk~yps{0$NnE< z!&*ScyVwn36uM#HD*}Nr7=_k7)SpHDcZ>jZ|9~SH_>n+icpq!xZ0b7+42BY93}dhb zIuEg!MTd_0_&ZEO^ZhhrqYS~P$pnUB2qs_y444k2K6wzjiLc(Wt|^5JpE6A&kKQOu&#Zn}*OjhADu-aSWv!yBr)t*LX%s$}lSB zQ%T@l5}0^cJE3n9fk@d&I~bfyI~bYbry(1OJRC#oROWUm5ke1iP9sC;gFzUaepr{# zK?vqh4#O7cnn~u+dIlqP9)ZlJBWRt&)Ic{3LNBa?0T_V}zl)C9@IZdi+?0U%(9N$p zmO$T`1OTlChjk6~zy=sQi;T{vd^R1y2n+}dnL-#^z!Y3SzzgvMW9KlE(7lL&VPr8Q zzKs1p;bthO33_th7LL|z!17&84N-njKV6>m*E&jV2kK50b?b!yO4w~ zBoG3!UPNNh0V@f>aWVQb_J7B6BF8WaYhVn9r6G(%_ay{$5go%47+HxOba_~`)O%sR z)Wa6&yNsc|m?2(G2g|W9BOvq;k8gLP2iK4Yj9o4(_%}89%h;5={7=T_Ff?;U=4I>9V z_Yi0)2?W@ipzl8B9!6n+`q+K!|21qR5bCH1{+2m}5olS34s)REcML7`!73?lCKDKe zWiSeTFa{IC`&kpK3FH9+gicr{e2{jcKScc%_HhhI{Xtu z2Lpd*E}`p5Mg&@)VogBDRz~hhGJl2)VHlRf=(E&IM}f^)ZD%P*dNsjW^G_Uaf%BGB@wjr830;J^Y)1KHktTMR&7Z&cBMc_o;(*_CE#{Q{NUqi%sgec` zDx`x+q7u*=qvL$^_2{P?y4TR%=v#WRFGH{G#omX$1O1Yep8|79PHLp8sL19^g)@wb z8|XkrID}sGq58hjHp;(=`dLN*HyHu6NJr>%jCQ{;^n`STKGU$j(a^K{An;|yo_?I@ z6VQ9w7or!Z>=`LWq`PAe6&reKP>x>RO9uh;#$N1e(D(LYA4VVg@zDYKQwz6=iUKNn zW)MSPdrX6ry~V=gMbPIOBecO72?zSNUi5tQ=3aESpNjOR;~kZu=b_IwhWcis10VYG zUi2#TieB^(`qp0b2K4Rd{+<~}spz7jryfVo=8LFD?{%`cttaqwyk7Ji^kVd$bMHdm z@IT$3CyPiHWeFA4RNNsMpJmJ3XkKi~Gv8p#JS%lrD5u`U7hRL}g|-6o`~kM~MHyn9 z+%2o9FQxu$5vaf27_kug7Ib5(^17$00loe|&f|B>C>1+s&~vwp^EBCaZ9_&{`?9J( zJwCG>^kQ|=yS70iU2JaX#iRtiI%(qfvf0>+NhSJT^qvQcAbR?a#yMr9oCdR!T1&IMKU$u`fi={%oH<-5@*tPkF6cJyLeBJV-!x%X|8{FQ7Le181A2GQ4|AKi=Whjr+i(R-Iu(01DJ4SbLN=r_R}EDAZ~R5z=;KIB}e=u7p?hqg0^PvCp(J?$E>E8eZl zA7R(ETTT4PcIL1he4o9iU7;1H>dp^sqgC}swsikizRKS7;9H5!cJ!m$%FqPSyU=?c zWb4qg`Ko(QKN0jI^qziN(AT5mC-sKh{m!1CVoNWMvQFgS*^475`ks`d;1$t||xm2K1v>l}t@OdUY=yxX~MX*h||o^u6e+rpcCXE^1Xf zn`~3eTU*tDPi*6dm$vQGOR-{%)|(gE(q$o9?aWP^T1@@e<~#T4g`BHUpV{ZEKJ3e> zm)MnHx4li>FMjq^?$gVpijyw$(v+Vn>I)p|BkIjt9O}R)oE0|mJ?hMqi{$^3Q5?Ja zUUYs4(X_1>JqNwE7u|)vwHLhvy*i~Id;aXDVoNU#D$zIhq6g78^`h6ISM;Js&^H{T z)4ycgLdE)HDpGm^eQhs#)=4bdUUVn=@?P{p^kQ^bi`_5j*W;(6h>H2$DwK+HbjhHn z9zfr6c%SAi{K!k}YtT2NpLIk{`3a*pqTeWoJmV$yP3U>1R=qH{TZK{)qoRn4Aw6`< zKr-l|%Q4k~zTBi%?693_-jb#s-oe_cU!&fTvgs~m{>(PRTEutw=MsRW< z>l`HGq^|kQw$!|Kq5lfc4Djk z`)Snu>#+J^r|sNPLsztF&H<0S#7P!^?Pa3B+fQ9~oqe3TWtZ)g;hR^r9`jdYvNsGj ztx_-VvMnv?;tSurogR6~NVbS0|33Y)sO+ug=TfIf3G}8bc$9|doc;=ABwg&)_4tv~ zUQ2)z%z`$ezaeTHZ8oh@_eUAXp?r^gsU%lJb^Sj3Sk)1=P4s83ZPn+Bn{)3rZ|qZO z%a%hKFNwl(GW$IBUJ)b;{zw+xfxZPDZ%1CD=c8{%KYFFgv8CKXw&>5YZHciIj-0rc zTspv(S(uy(aZrwfOupZKbcf=g4hL(|l}xcEt(ai@Y_u%0l?Ke_P0Zxk%%l@L`Lm?? z8osD6cExGMD)G6E6K=jCuPo}0KiJ1B_ZPMtYpK0WbJLQ^QjUoarEgwlo1Sb|*Y&sO zs`tLI<&Mi7*mlgM6k;A{y3^6QVr;Sm)6}G!?GAO?e{Ew<$Q;C%j@60H_Hif5>=q7V zEg|Kq&(E`;)4z&hMOK?0r#`>UezJ=F*Oq0i7}BQaiJxXdur}M=Icxd;{?W^z1V?f< zT#7zb<}Hq8!6WwJ>Y--a)RLn0t@IEopLRmR^tvO?;Hb49@|Ie_uAC_ z%5WucUrQh#vu*L1iJ%bWQJH41vncgQTs5i zxR^Ec)0eif*6bTv^(U-A%Vu-&L*LlOsJXjsldN0ITlF?EuE)51H{(9*7lvK?|Lji5 zjFNTzEv@=}vCZwnq={|mu=F=I~@(eal92+A=~N_{KIdQ_kXQZ*A4t$)K=I z26gx=f~vT!Ri7{8wpkps*m5#zZ*SFOCCm@{sFf|YTx)Gbt6nK4IhN!ebF%tVi*01a z_Pbj3YYdGgU{UX3mr;5Tzh^-DmQ5$9gDtjmrwzToRlg#G_U#GB4F1i=QAO6Q$4Y43 zc76J)+rGwi(F3je0|_C!ulm#1xZd+ntG+=(sPC&jMo62W&ic}}%Xz3XKWhPrnwGhvY7g*jo;XE&5fhgGv9Co+>tFKF~yobu1%jKjwbYD_co4Q1Jtr_ z$#a`()u&0_eCjgqWeGj@t!=7x^B;I_0(*|-TI5n6^5MDme(KP-wn-TqYFhO-(%&8F zYT6zGo%N(4yqd1A5TT35NhB=}r>h4LtlOS$)gQ`H_RRi7u*)`FeX)mL3Tj*R-QsBv za`Lcr`ggX`*3HiuGSA~Tj#kJS&Cj>$Rh;Bl)(lXOd`CCguea(B8R~xxQ15+bo13u% z;Z#ZHiveoT_qMs#dLA3`7`vv$no+FQ_qUH$w|#G$Y)yZsRbMaidgM$W^2Z`)^AL#> zI80ca)}%aK-SLC1pPKvwD|QDDlz2#*G*Fk^)u@|l&HfZw#;N?o)bjpZjMwgN)jK4w z0$bA2V0CKDHZx=ISFO5_$SZAXUCcIe(2f=kl(L#CY{l}2!|%*R>hfLu?eId(HaTPS z53TwI$tK^feu&x5&FK2EH8s(j?P~sy2$?^(>bGS8p0=w;ezc98whdv3^zbjcEI!M| z6PDW6-)9c=G1n!RpBy+M98GKYw(2{@^$)nllXXuk5AcvkCTB8GvP7=kWgDQb_=#bu z-`}c#DXGV(t2R^j+)uW7e$%!4^m_aqee{n^;LPmzZJIalBQH64x1gt=zfW@vKl0ur zdIG&)4?Q0}>r_r7cu>aEV$Z+?%!Rh0clSBVmc7v;C!R|N*wpnu+lE=UV)PG*yZR({ z@INqOmeHP5?vkyT?VQnUBj#`nqleVba>-@agg z9IMI+wfcVbJQdcWsy4k%4pDm$;gS6%mFLGPC7TvekZ>edl5lfDhA8mI95Frw@n;debqia zLDJ(4rq8&vWB#FBX-&r*~8SDwzcUF*)Pk6s$JqH9XCgx=!u&IhV?HSu~ySx zCvLXC!km3(TWlM}X-?Saqj9KuBw6{-WFHF#C7WZh_f^AU56!zIiq}#W1H__aD*wH% z?(ecq$*6dY`NMCrrSdtJ7X);;gH=@l~B?+oKe@tJL~>c8K1nzidAhFacmj0o$ZL>-)vkE4yrq{DrL2 z=8X6;=R8@&aRZy&nBS z(U;l^-@O%4s zbMaC&FwH(?_}-;)J(}w7mzxcFfM3^AwIa>F)V!rwJ(GrgZL#_&%|64tX^$FUw$HHc zEspE|%JFYpcIxE}OtJiJ z+NV$7zQ$Q(GICiZ@^roWviNa-Zq{+w-i98v(%v(c`QFDLot+l@n2e$u;(CZoCO?8W z?gaa})=Zw>b34u~j&a7>*+VDTr&?zP;<`<`pOItiyX_~~Cs~_STz_jp{;XR*x37Ji zwIXQ9<8lq_M@7zlJg)bPlWV)>AN%5@F%;M1C5FuL#VYT1-nZxXvro2GzYy2Il)t3C z$jPJYqy6lYhOb?I>`_pbRLxnulm4W3_p^_mc+ISRnmbK6%{$fj1M(bOZ69-2_aBgA zAIHA=XEin5K0d>H#y-7B4r;ScRad0jCuiI~pGQt&WclP&^+>vX{ z_S`JC`NBD;M_qBf)k1H16FEF>W5Hnr=M#!!F$M0IZl`@|b( z@kzNX-us>E6$JB7g=%+(eY|yBcDtT2l!}W9@97U?U?vYl`~VYM(p2cuxBTXK61o$Oo1FjyDwdcVbwW|*1UH0$YI`%!xSbIr~`P-*m738{v!sKo2IB` zHj+y}n}-a^X#9GL+Gw*+Hy4$vmu>c?)~*HZ`o+Oie8LY%bE?m&xZOT8qjY7vo+|cl zo~G8>?F%j_Ejjk6#qMAVEaHr@v|XRUwjAcmyWc+0E6|sUp1fWmA5lqx>m2-RpuVJ*(90OeS^cYPCXGv|8PoX&>+3yP83l2Am(r=UCF8Jacg96$EDJ^5?kdRp{#( zuVpDcdEHg?5c&k{Ip!RBiQa&o-HRSY--I9Dwgw$}-;!~TQ(?M7O+ATY-kvMe3V1 zTn>tdPD`FYu-XQ)=bLy6)t7QWxG2x~OYL6dsY5R~@)<;C_hOhmWh! z$tXhTn{HCy4`i6(fI)WNj3VvF{4pZYTF+(J%GKgQym4&hVOBHci2Rp4b<-gG+&ZSi0>g%cJBKnb+=wa#qSUt;BNJWBov|1|em)$Ip zr$!96&o!strB)1Pr_a2*U4Mlu%Sopv-y6oLzYOMZUHc%rtH@6yClA1f2HPi@*Vd?s zL+n#BcGR@%2W0nOJ5^mVgo8xElj?pd%oR_nH-x26sn#K^qM=*W@RK>xnx1ag7jliu za{E-ZgF-R7|Nd0=bp49Z-`E$stZ^eZ>x`n+Nbzy&pm#Rj#A&)$kV;B z?S3Wa7JWN9BX#5@x|Ll{>{+izUZTr$59@o;UFh4;*&|mT)1ZWkS}J;W>@^y2_&xFx zn@XdDqjlL+gXoQoYUeQ4_SwAa$-?PAV#;=u`mOJ%;lmlQJ@2U5!x`#K7VSAQvOi5# z>xMJbdF&Lo5~3w-ntDWpY=-ogw6=_&rrsNFAL(EI!SOjal<CV#P!^JCN0D207I;%-tGl~`6H~;wTywnfEqgc3`|I@DjEW+#4RK{po+KY_rc1}}sMzfOle0qF#`Im4i*o*E)-+|sU zyE63cz1aKE8_|2tW|d)2s>iP6P_hH{{b*M5GgFVxwT1fZ9jTR^&-=ut9mZ^aZ>;1p z5kE6#^pLTVWn;Y;IC%V^GW3tVpUed~9y`;`sQh z#0355_#K27Lf`a-`hKi^)QH`u9UHzZS9wJ5_AlDih*=y%@;PtX@LwbL)%mHTO__+z zM*KJDr;avq3O8$^k;8Ah=jc*=ec8(#p*QxTH=x&}A2&zn+t81jBg6ja93=j%b*X$I~>EN7Uz-qg)ZV0`p5+ zTi#`ka+#w|>cep|N0%pOLpI%PZcu4C_CX`d*j&$pH+u%{OPZXHN#81I__bO*#y)mb znC*#^j}Ns8J^LGZ!yDc=&UWcHvZTh^3prcc!*e{7%W9`FHRU4mP|sx8KN?e0gE)ad z_mZLaou1r%%r&Lzx?KB^6T+p;o4O&FfScINK|PMiD9fsp$@zaf|6m;JhD=u@CNR9~W5%)W)ah!8 z2z$>qf9}_T%v}*Pz#;_Z_gRkr`jiFH2!Q% z$_3L?`-?n#x{)WRCMV^}>8bt2ay}w|uqG$vj?|?1EoIDg>+$=G1AS;OdOmt4x>>?A zUXrmJJsrJg_GN~B&+L6E|LT>A_S|6)O*nr3!We8*=by?|tISFKmE48%!F#3zR`%XUY+_{O0=7W+fhZ4546O!=QevY;5;D?h*PtT}7 zY$R-*AqnSZH1iDKWU`}YNV4pwXBvr~IYS+s!c1;R>p1QY2zgrf`d)PF)eIkc&m`rk z-le_RyU>@T_e{RTuqXNdoq`lH;ND~h>Y39x6zv>${8ElkzsalyUdHiB%g-}S=tY-b z*vdxlnY27reJFa*q~$5=Vt;hfIV2rT`Bx*R@m~H$_VLL&uH{IYp;k=eYDc=2XM)O( z`O%Eju~*I#(@*S39ecl?A;;d4YWp;fOPg$J=QNH>^|Osc56@7O^5wW>KR(Hv>o_j; zqPx&Hp!ZC&1buxk_FnY0=slCKH0+OA&2pj=Om?7l=5s!6Bjo3sxdR{gGS4v`n!f4yWAz|nv@rH+X(xxQ4JI> zavYmsa-TpiI$36&KZxlvx#aJjsg}&*P_=ick=-9=s@p`UpJ`w2AgH~YM!aqonfEer+Gw& zTKA)USYG8#9C1c;=pEwI_`uQ6bj@eFO2mCBlke!zhviaa=gj1l>M`oX*<5-n8mnf{ z=0f(4$sPL15mdWo86VR8bv7T;nQMG_Kh4F3z3h!@wTtW2%lVUh zyhMG}$DC!qV4gO1q%N$YZ#184T*ox7Wd48D%kr+Fe)DvmBrAT6mlL*dy0`nyKhu7SbrVm|PF^G4k&?ON z;7t3(aT|CRHV5D2$jIG~l0k$MuI=bQvTfNgPyKKv3vBcK9eSFiR5eeHm}eiEvGsut z&6jIUmhe0^f1W*e+72F*{h>7Zdft)Sc1n}C?Nlburp2|7Q?bntcBHP6rWL41XqZv) zP)G7x2bOUK>OFD2L3N})#$8;X+Qecj57?IPEn03YP~!^hxz-5}cj%(N2sH)8YP;OP zaC3ou(wyw-W3!QY^4-ee8@=ayj+{YNp#MtzW}T&W71+5i;PDPUm#f*9va^z7I$Vu9 zi}ksk=U@ME0CL6I#j3WB+XC-6i_c8E^3*eD*_Tdhe4#_XDw!9|KazRshNX?k%w^}0 zbD~n7YF#VqdFgyL>1>wmHl9+Qyr}u>`N^9khp9);wol8beW^oVD0Yv`SG%yw*!GVO z{gZU?(tI^-zJ0E>^yLn{hTYDxorZiMl)Q(fpL%q@eNz4go)rC*7!O?_(IoF9J^IE; zH+6EhVtT71b+4m)0sD;H>o}^=KGxcd{D_Q6DRS~=hieP%<1+Gi%yjYw##XTA?-lse!tObcm+wAj)uXB5#Q zA}xL}sw|6)7F12PZu-fP*B>RHn(5;WE^i+LFFRzED(=(crjkYW(^PYjJ>9yAr*S9i zDi;5*j-7RXhh8PQ=PY4iX>KW8v&cTtYC2@dRo(K7B4?XA_46_g7B^|%OMV%P`P_F? zf1YzKPO{IHEZ_oqD${E#G zp4PU+kx%M%c^2;+5Ch;U{T3MDCCy!3U)n#t`!~q*-cIqc^U~#M2OYIAj zA63=d&D%-N@dxfO`krO#fZIN5@rK8bjX`#J`7IF>u}M7LFVU^P=GRyI^>XY*FL+#H z9&Y!RbLiu-;>oznmPy>XR@22iFa1 zQFE3u;v0By_=~c-q8F(Lu$Y#OEdN8N z{*N?Ww_Lp-6?-02JI~|O=50KT{8_2^<8n2v*q)oVT&*gx4>~3P9!_J&96QWT;wa}W zXE_fnPu|oKUalUXjk%~=y!>JBRUFY}4kPGA-6N^BnzW;ReQuZB+AvbpUdTnG4dc5~E5@-}y?G%kX6-5J`wLky zTPCR47s0Kks(XY*6V*;(dY+niF>IW!ZWV5Fsdq2t6ZY+MyL7I+<+@fI_j62I&f#|} z50bx@ivgBPk-2e?yPKDD6szYs@~6pL#vQBGE6dsSwp`w&>t*U6OA5Ja)+KiC)mz)8 zl^l{@U9Hw#f?3fzp5`uQU#wOc58AWUSC?==t>EGDPsrYud4(J)&o%GxscTjc+^h=q z@(TMJbMdd$*p+a?ed?Z-_8EO^f7hi?9H2(=JsS1xO8cpO*Z+YqPg2)?W^<^i9zIgu z{ES-dv5z0u_)M3+s>f&tHnNzX?b27GTb{Z?J?r7#owW#@Ve*!Lg>g=?%$Aotn>ssr zP9b}N{0z+h)7O{4MOl6C4;WnZfk>*HfNM=Y@MrI>gAuf$*hFgp~ zqA9KiNo!ogr5u+6(P}a)wQWK(vTZUe!fiw?Hk~x?z!i# z@4fGJ?V`$2%0o>JyQ_TnaJ~C{EZme2#|(c?X{)zZQ08;WL)kWbe*WfCX182MDCGut zE8ts!TYQ9oGa_~&k=x2k7gz4`Vi6Z8#deb0=gM@OUf z>fWjHRe%`y_gGpw8iV)Zcc^5vGPsfBLKSc19n!evdQ{Rql=3_#61F{6J~LPTf012u zg;C^wUg_L4ac`Axm=SRBII$(OgBAOqzC?pMG_*qI# z!|uGov!XzeBlStZ|Km2`zu#$dnld;hN4d5AI12jCD_*}fy`hN0m)9g#ka4UsEIa*t zm2Z$LQT)dRnXnN(C2+h#u74Qk%WcYmBaihDaJ=;L zZ+8xZ5RMBDK&XGX-8lu^dV!jcQ+hOYaUSn43OZuUgbN<&anWwQO{!B`yyJo z5Y@O4=me-`(*Pl`AczQOShZ}cR`yOM! zCE3cr*4~DDA~55YHPwGBFW>nCI@Q*q{kDw9idk_OC5^{0Lf5?7_bcbqltHt`qc#?{ zpq=BDHqpT?t3^Wx1>r4iYX8FHzXI~HPGFV0~;`)yuQuO&yJ$JQP&&IR2!|ibxbRyfPEuEc+HpXDW{ShocKK&40 z0AFVlMNd*5(ua4Tj7ivoX?>ttJqkhAZ<_^nI)H4r_psQG6JdvZk!;8f{!*crDG(BkD;)?ap5QI#D9%$b+S>w$DQ*xH1kgb{^D)$Wx&(@ zaYa2iyA_0F*y~DTdWeq%+%ZMz(nvQDwFaMYx+7s1`m*?Hb%$LxJIgcx=7ego?ND9H z;hmAT1EAYLSDjgn&&PEB7ylIKd7uwx2$kn0bwD-}|0nbaM5E5UxC-jVCY*v}GzLy=gG5%H(0nV5-SfVj3R?&&WjnyRY7q zL@`s9zHRc8s{MKr_L+otpbYThU}2lLrz$wQ_5_tqMOT>j1Vv7R8=6K?8p2Q~Zk$nv zG`lCzu4!2334XHL=Vfm@JV8ucFHOT(&ht#QFO1Q$34GF~zrHw?GG0+S2AQ9#_FbnB zUV+liSI|}kKTRdTBi&C|*LRuo^{MsN;;M0U4~+3N>8C3ld&iEfR(HDwR>7Op)4*sA z`8erKO;j&`^vVPtjS*;5hgAYVolP)t``S@jW56 z{*2g%b+B6hboxN_MBruPX=fH(z&wG@W+9`F$<=;m-}`~Dr30@5fOic-eilxo-ZQZ6 zF@Gv$%;1-AQz>@_Oz)arean_lzKANT#;fj7ha)HJcf*Ata4T@f^lDmqQt3~oncOM8 zO3^cwHV>A)id$#E!QH<0IkTt(C@?!(Eym@;QpRn9`rucWVb@Qj`7@RNK?X1e(g)3O zPWt>zo<(O>`@ZIK*gcW%ods9Ru~X_So-E_Cqn1o?O{D#^(7_*@OJ`=Gf&?$1klFC< z&NC?$VVjXvUcVj9Tqzd3j}ltIEhEne+SA{&l}<+YDt{fB@d6l+t)l2TNU?l%wK!jP zYN9w__SPJwT~o(G+z8YZdR)`|;`|(?`@M<(-dbMQir{E#swq^D*4g?Rn{5qcyat_f z)>6T1D2$QosN^-JZ+pkOYG0Bx6$k7!*U&AM0iT1v14m4v2eXw3r4{-TGfB{6OqxvFDaDR( zCR7|u+~$@K6%bUal6-sR4v^JKZ6w`CsI2x4)06o2z6a2nvM zQ8Zy&qMJRnXkY^x%B-Y>Bw@3Eu(4^2ubHKOggzEL{Gi0tY73CjeR$ep2oG zhRf>sWO)wu5nAypW~dz&C|x5>xZCLk=))%C9VQcHyTius^C6miH)Srs1Tp>FYVm14 z=EcK)vH>l+9r!^`|Gk&#&;n(U(dG3w2)7MC=m92R3k(jtV0zbGD|apYgs;;UmU4G#-UaA8RN z7tLcn>o8 zgO3C5yp5dMzzc7~zXrHN@Mp7Z_2C3|gOGol2uFeE-3I>&c#aSxr3gi@0k=26*)V*W zT^8`anH#O{B0W8oqZqR-xS0zLW&NXO7QC^y!N&nN-v*xzyfbikZT-V?)&MtM^!EzZ zWjF9(@T2hQa*ooju>(J@=|zv-_8TRL`YlnSjLEnhOsN+rUV`=e1T)XeG;;}>k3^gj zT7ttcu^0T4U=O{3Ue19lx6~DgV=q%^t}-ad7EvQcuU*NWi}t80f^u`wcBbD;XL31R9Ft#H_FTv2B^s zHP{hTqploKzrk3Y`*)f0K&ZKQjqfV-3LIsl2VYnE_bvxu4FKS_)h{ZV^#x)miFS+a zwCn)vcc0|@)keEs$LrCNed)yOJfnNOMx69DOrfik?ey+XmqAKpV#h`YU1(hE0{a2kJLjc+}-NCg6JOH)^1iOV#o# zFg^mfy#daqnFu^5g?8pC9plZ<)%YF#qAyXauw4=2vu{IEkvrj8g=!v*aEL;zA9>_S@6-j+pDRFu? zK3%s8u{~#MeE+hw99rzJR$<8}3zv`eW4P&5u@E$8wGvF-S7Uw0`jRB2|Buu)vdjmq@=3Y*lYl^g1kvIty!jFJU4d7(PQTh%Q}+M2~$^<0C9M2Zqm*@b7?)wqN}V zoeSrJ8-4sGiY8R%;LDUm7*o40*Z4-$&r6j@47<^JxV<&Lv%DU<8`9JR&=*N*Z?sj{ z_(pP(@?`yS@gd3!-=c}Grs#ZBj+`5~KMXHe15eGOC-PA_Vw=(xLdQRTy%HKUt`svK z+LW(6u6MPi%6#%H|P6#L2R8w4xz z(65n2ulba^@8E(9PKS8c*oa@vR+sqXf%@(RO4o?s=-OL8RnX@Y>N-s+Q0|FHybXCA z$X40}a!k%`$ZJ4$0Ph8bppm-Z&Dhiao5uTsDzEnXs@EJ00Ci%9>bb88N)x4n$!`D%UY~VMUxOQv-H&^FHcG}Ul4R{HA47ZStWB9+> zbaDd*Ayv4IY#IY$bLiQP7=$Dsm3~LjZ`>ydQ7WN-XgcmEt7Fdl=kUuZ6MeD~1K{x3 zTHn(xfF{kMs|+OKda^GeBhWpE+P{gNqTxUKJ5oy!`OeB@8uO-d&zP*Cwc=zUV1Af9 z0%XT+@QJ{yARl$T{$c(Fz@6Y9TaOAk8-NGX$8Ta&%>n#rr7K7=pjaU1fEcLVTgpAX z(udXhCN+rL@&~kpz%iGoe>g3^PBWc`zXh2I_|rghAt9iU18m&^Vxhf^lyT4^Xe$sK z{RSjE_=#He`%aoTmUaqc$8GQnz+-WN8^&$*4|{Up85r&dukQ|?t)MP7(l@F1QhT3r{T-4o=_xl_=0nx|`05Ey>$VEK4{$H= z$%5a^-;-c%h5}EdC7YE_p@!+TzI!KH16Z7Gtfb8mXd= zL~KzyWm_9GaaQeo~5q8T6?HEOg^I=lPj{e z^oe7nO~p6GoBqN%proznDm%}t^<{Hc^Hetag5NQhacxy%LlbA$`W|CABbyp+Q=SVj z)0l0_;{nOEcN<(ik&bV}1|fTPtmi zAzAp*P$*xp>i`}nv&`%2s@niRb{nB~0$aEq{E{fCN20j~ny z(6-Znn`rMYwSv#x#eEqN^kqQw`OCQW;Bf6SGVaD8q%%FRTe-iT>vh>Y-?VECw-Kn5 zqY;0y8?M}~bP9;2gS(NDbov2-zIZv+>{faV$yrgWeG5SSrZpEZ-%IQS-mrLD0WZ4^ ze;?pFn(__|-Z}49UmpiD29h8LWYNHPP`GP=IBvta8~8EiY|)x8PxgZ4W*zz=nV*28 zNZwTjL{zP;_4^hummFWMi~-`i=p?a3SMaX#kg;&}t!xLt=3Y&e@1lUSC}NK?z&LX4 zt!#a;4q#tPnR}Fu5uMlFTD_)$Zl%3@Fq+8+xnbL|6=c_Kq8$ca#nD;>ox-1H3Ugw_ zI+AX!?|~KUtN!lR3fXK0ypSl>2`@;b8BP>vc|H~3r#@#rl`tGjKQLVU2G#J-V2ap_ zu0L@@efw3!U2{03Uen?lYL50ebrkOw~tpQzNJ)zO|u*rKimPg+k!4k{f^p}eMt7tdBQWm9`CCa$PXOJq zhvtC}rFQIB?#B@AxBaLj;nd`S^0eOm9;F??z^d$h+Iax01^I`lhQs2+l=L1JJCA)> zD^?MUvS~iPj1n5`!bBzrDWAxu{qJEO=>C+>zNhq!O8l%=EFiK9c-Y81+;IS()C3Vc z$Tap&8|kU{(Qg-jgKn2+a(CKk_4~@8h+wyW_PJmVc)6L}Tcosj>`VNa)1l56%ChCd zEa!mRZ-dtX&$)SZm~L zM+sE&{7$7Ms&7@7z_+Z_>k!7tWq;QC?tq~JzqHfXLs;9+Li9xpRM_RV`VS9b%Sv%) z2`h6Y-0U#ms^~i3I_B;JSRLt&W;n6CHAHjX25(x0 z2K_cT-(v1*fOEy}2fPXkEFYr9Lfi5o+LrvwRDz%SW0&d7he{9lK$DM@PLWP;t@toB zs`aa~gdsq!-Yu&k-AgGSVPU=sd^e9{*$ZZ)Q`B9l75kRHm`iVbgm*}VSLn<~NT;d? z)qI31UJizhZ0=v?Qg0Vt_F1mg`u4KlRKlX_4t;zj><-FzVQI-(TkCW4I!4cVa%1~N zm(rD|JRd8MhmH)a^DS%&>ZE!6EiMzS{uqlb`35Ta7z^xKD8M$Xx_s0cUW@7rytM`* zWc*9uIk&<2?({t1_cq|K1D@Z2pGW&G>rn89I^Nq%PanYsaA(Upk=tMA(b6NR6v6k@ z`QG8|U!6xM0YrGZ)oBYSCT*t%U&)Tj{0Uqs@CLimz)w`iL4c#zK&&(c$dKYsytgc$ zj{|w~D*tNFS)2_#vjIK|_!>2VZ$D9<*E@Sq%u#-|VXpI8LDC-1S)c#VwwfvPsM4dI z2lQS{RA~hOqIA5$M_Ww-jI;7lnYdfBx z3(z@jb+=4oM*%Mc4wtEaTH&v0z-_emGbo48k(Yj^41ORVVsGxCER`CtjtR&#@!LnN=sZPv`@5?iqEw zeWFWazVF^Mi}oEu?Zim+nDVMIXKtO(E>cwgS4*Z*fX+LYGLI`gBD{-nmvaO9Y|xSJ zaf}eka;V}smeo)aCzPJ3M&l9a3zt&C31yhE^XqlKEjLB(gTIgBvy86SN&f|2d*?5w zxG#`M=M{D06Vn*e%tX)6dmyRLb+dGm`5ed?p;Zh92Vl0?w80yIz98H(l(+P zSB~f1lnPsRMB@NB%}S8(v#+TWZ;&NRON7fnJ_fuQV`!H+V1sW3?gHMO?p%ta=r_Jp zdPh14Hz6~FI%prtJ=KfQQB|_#9k4D@%2%A3^_2S+hF0k%Uf%@f=K&fxIWr5uXQGe4 zQtpq*0r_S>V0BJ`?81fU@KKIt8VC8DiwnTZ3Ml$(G_v6|cbB?hS#QZ*B5? zG=v>E;9ImcXkou){YxnBTka%EDD_)(yeQ&tm7cvkxG1|}?nz~E zQzx$SZU?gjwuSlBQ!H0bDm~lf;U@28Ea#DCj$r-F`X-QbAJ~k|IPerkDCsoglrlhH z_9>N|g0AJC)`_Kej)^A<{|>0!S57INB1V4Z-y*7w4#>#LcS_qvj?e1;w{I z-=^=Bdxj+*@XrJ1Z4JoY&+B|kIUV^hz8iS@G1-1gydT1&!1I8g)6u2lN(8k%jWc?U z?G%3+#U4)MPh${oqm`$XwgVl<>$C+CLo#xn5Q?=+h+uWs7*NIj4>~7*0CXK)InBe{ z6ZIXRLF@R=ft*b3zDM7d4)Vi@p*bO?aH*iAKMO5YgWUayaDfLUH^1Nf7Mk6&4*ie;cn%LEUS5DN4PzM5*?H?aWFPF= zvCxz=YJFaE1|^tGdpXFWAI=~Rv^xCDOznO|Fp`G+s19Mra}Z8T7}U{T4ua{2AK}hA z3j0av8E3~W@=ZC1^5KF{KreF)x6QMHfq4t$JK3pf#bA2By90Dpztha0lr~)p&(--J zWxfp>-*oT|3T2CIg`6_bTXE(J7tkj^DII!se)m=fKMH|vTx&lCrKCN5@W)TUV=3Zi z^hEBzDdlISZ(7#*I=}Od>@wrQC7I@>9p-)SEhR+SWVX<`sp6{iMU(v{ydnp$orYt*t z#b~e$w+wlBztmNV^I-S}Px4^2kk^Pddyv^!I_^>DU;)3u)K!fr?l)|ovHx4=Yp>_K zH`>wS-!K5SR@aHi**R2`-;_b2rdnB%8ZD&Ivp9HVqF!f}d&XDQ)%pHJR<(znCaM** zzELN3^{Ru-G}(NMdY=Hg2lPj@NC>$QM*$E2uTHGIN*d$SfLmxUlGEq^M<>oIeT^Ql z!PV*?_TQhtR`wqmf9K;CzB(HFI~ELb^f!3RNd3d|7PRKR?c?9MHEVRkPY$bp0A#$T z`yJH@HRl`xGxZX|a0K`U#|_*<0zbcJAS&h}f2_-Gq= zA{c+X$?>`7`~>{ion-t2ZAlgl`2&Sxrn!G$oQGPEU;4tvRPhH!e|Y8kr}9X)+kn&& zPkU6unb1xFzu6+H;edN?gD+$Luv^`2EAs=t+1*&qVc><3(^{uXQyWN5oK1BK1Sbe; zbwtCNTHm9J61@gGEBrJ^;D$9tHmvBI!;#P+#^uuoVH9v1jrj{kNTl_D!3e>0{4bOM zCKCwsg)J$hTp6t|$HhYBYSmp?4kiPAT#n{0oc=CHObfO98`I3Zh#S7s+!ypkG5w9# z%;tM<_|lO`VA4W5^taMF;@BqtVqy&rv{wuNZ&iaX6-YXrh9J-vx2B8=b>(nL1*%z9 z2dcnNeNI~nIgjdAhROwkyUg@4X zGU|pegVho( z*a2M&f{4T(xBATj^f(s!_#!H3d1pFv5oYR4)fZ7RiPYs1Ueb-E8JCn!y}aFS_(p5` zBIK;h#(e-;Sns-dG{^N~4REY^UQ&8yJGcwWpr{4POX1ZH|TXMy1ldOPS?{xDRR%oK(J0F=G`G2U*n(=bYXFeAEN&WhHzB zE1Fla;8zt-aaWaxA`%Drw@GY?5#705S6)@_Hy+Es)lgeO#)rl-`y{_YERN+vXc^&!2 zK?p9S=nt4<^{2#QzIZsnA*f`vYuvgirTQ%7Vb~o^w8&5XRVc=M) z{fAY1nvDOlY9lG>U!Gs4Qo+AU$0zO2-dZm<^gm@S_I z-2?hX`U)K;b*oZZ^~#4@JaXeEm;?5>s2HK{%{V)BKj6VMqY7h&;^$~*6)Xt9uEKQ4 zF@_?m)gi=?YBVhF(Kmc^xMBGi?Q6BtePFothVMEg1pW$NdAfd2^@m!Yz^Sh34V$j^ z&y_kJ1CBNxa`mpXqUJS9;A`2QfPm8hKQ?{|*HPaQ^g)#F+#Nx4qjiPBc&|_r9EAIA z{@_3V4Gvn=S+}prJ-AS~Nzk&6y7M7GZ-fM`?4n!E7aDJC8nmIE?qt(%;MbcBL2sCF zlU~rtwz|*5f>yTIEomO~U6gK9^PnAFbl)`pC-6cS-Qn<=I^ETX(Ltv>>6RLUe(a>X zYTO?H!tquEb-JR~LEcWfZEd0TI@6oT|6A?f$NyDPt-cx$f`GL1)am0A10^EW?dEi=4TJ!bbWsYfZUl;Lz4&Rp==>Td&DXFvL#ppTTHRfgkb*iwcMzbN?njudjAlHvES3cQC^ z;M(toVMBV$Q7S(*YSb)@ylV}%?4y}NLhMu_@vrkDbXJORl+<9H3}2Gr6dArC!>KZy zB*W=4oFT)}GPKGtO@u|it~2Zi)aSRxhBQNukTR=CwaiLK-Z1nH(~S|obz|;S?OIAr zHW+$_oLVWAKDCnKHyHYcR2>(;tB%t={BDlh3|01XH^gjC$tgOq!SH@ywkBVt%KsYa zz@(*f6QPmLpwkk%3Dz~j?Iv1+{&;hpmasqGLg&o2F;wK+WVjNb_uQb$EruR?hqWkj ztD!M~DHOfUFg?j(6WQfqF+ZV!I=GyovriE?{8)WPNZcav0TO>h;<_nC726CQ^?KKw zqUME$W_rDSPEq7e!;1k%Pqq*>NJjahnMlH7FWS7z@JoQ+xq#-qV>qq1FQC+S4TF;$ z3q=IA+d`zY79xUG;ytCH0*RX%;H47xETD>a4b%1b!fe_eLysg^j*#15#;-v;!+Etz zT$^P)j`tpn>y`-q1(Lr+;*KSBW{+WdjAf~yCrbK<*rLYq91>qB@o2O-j9Zq`JSXD0 zUiXg|7B1+f#6wx=!3hTG7mr>&l`eIE6-oBi;U&l zC9cWsZIW^~_{)7$;x3%jVY!w!@sb*Hb#Dni&Bsr)6Y(8y3S6^$yGVgsB)?|&vxu+R?@)UY-?d5Ln*G9}1a6i5n*HWTT(^Y^-iKWKR;ppSmTms} z?&=`od$#)PtH;P$wU6Z2^nF?4IH{Cb1i6m4sk8`kt%V}KX5aOlM0|(DwektlkB}z1YiEB!9z>r8a?jEW+ z2qWtDQfdi|=-TU_UPgDRti(0BA4y!dPw;DUqk9N`2M&CdK(6Tk#Trur%5@ zw2Er_5*JkLqmj7fJ%Qv({us2b%LUYY~MUhFnvz;M1Hm9WMfz&nj`v8Ba-E zcTn(a`VNQ@{H`LJcNmr2S|aFLK9$~*E^#g1Oo>|$QS=9h=Q%9mX_ex6jEGnd%LfA2 z^!P&Jo)4&$<5@oPPtSRui06>FmfpR6r92njnj5z3?O#yjN9Z9vU*P?>VRVe;3n52y z{tB#IsP;M`@OWv**axKi6Lj_?bT$q*rMe8u^`^h6!i7%FT|q}aHcU6_&Wm_x8`S3r z1hYIx1*IG@^e|c^9d%cI9!3E$-F}`5jzF#n-CRah<^FrK5&#v+dO) zvMx|?JqjzSnYbbFqZsq@^Nhr;0b&&Olf=hK+!7>kyg5@Jo5tVRpMSQ-AEM$dbQ6O_ zgvFA8?}(oG6s2Ifi#C5|Se|WNrOsk>C!}D$nTeYSGv9WD=ZwVXOWd$d;0}qutMTUx zocF`x=Uf?wnx13gS+Vi<#Nt)}LVA2xnI9Rq*{|H=DZn?y5RRXsPnY#BR z?w0r@iT@>WOEnc7Lsj-p5Y|Gss6H8}gPd7=osh>b;rUrCab3ru>hCAj|IuIq=hAac zpytQnq7Eq!!wU5YL)}zMcS2F>al_X^NxB)Lm^(^_>rY4qiSw+HpUxu$ZjpFb0qdTY zxGSqD^Bco|7(*^9$}HtkrS)V{!70OM0eW{irJY9ga{OIXdD_q>K<~X+)V$2lH$abD zy^?<53gM-hKNtqRn#icgAqqXuTqoY%ddSfu%Lhh2;uu{t*>5 zPfkNHs8XNE34(5`EQ~ zc@nn_@W+>Gax4N*SNXHy7?R-dBVYopMd%?SXo~LD@PPu?6gn(%S6_kWN=1)J+#2hT zpJco>Or(nw1hcfn&oY8NvB>zVVRWMy)5l4wvV2C0pEq8SWt1u+MhaNxmbg2WcAiBq z=z5kyen)F-y+reVH(W|`nM74NtZDYDtU{3j&zAMPX_mls9R$wj5BO;>@#Icaat^(W z^C?RE!>~Qu^P=F>s`}?MM0{tu!101weaa>78ZU6XxKN+FvGBlE*^?n~mrQSf#2u3a zzEbi}l(^+(70=cMNz1K~gk-)B49{d4A$XQZ!1ap22gn3AN?eyE@ClOt2yk{@>lk5R z*|g~Xll-=9!T+wB3hH^s*&>1|O+<)N33zV@oO70E7ZD~k5rlb?-y;*i*iwBCN*rrW zsehpaos+2KFId?0@KBZhy5y^wBjh>~1g>Rt(rW@Y3>LVS(E#{4>yex&@DF8ezZW=X z)G=A;-A>9+lKk$a7DnjTa`cC;S48Aifr9J2Wb(`qxLaDUnO!92oF#Bgxw#TI%@Me! z++A}8zcpLn?WBCO#PjB>_`^EhFT&4bAgEfuEVMQXST|cna4r#ocq0iv%O!u_%amDR z7;SW{7IbL@UAY!-HC}GO>YjC!bRLZ^-pQ;w4}QxOkxp|VPWR+|=^j%kRI0BbQZs3Jo5zqgOdNX1yWy$$4UG>iCgDU=0(HkY{xzL#`NfoS*|0svv_vGN z)t{M|5O6{sqrbpP;A(|lLeXB7m^GJj5oYT;_$!pKOvKl968LFh58YvjdnA5A;%#3S z{O->F=@D>F&$CqM*HQAHko=x*Du35{i+5ixBAAwm2$*-M&m@UEmeQ&#tnhMwfz4J3 z1xyxy1r|x%Hc;SN$^H$T6?45VRCcQ-Eqw@CEH^cLbZxKY>i}+xK@!D0_VgW zr-rJDYZdRjhMyL=ro`B_g5UnVz%?a4len!+#k=aXn)=W>5yAC?h@chcCg7~KX)Z-x zHw-qK_zFinnsqvppnK-iyz6M8Toa3Gu4Bd2n3paDC8+E=UDA5~Jes0QABR^p=-Ryl z>BzsRDDJN*vI-G(1yoRl-+5_NQ-!9{<)Qd$j4w_7MaTAqloPvAr)v&NSVtF?R`ZC~ zJ%lQ2FmUy}Pm#3<9TO;})-XEB5iIPVE+ckxh=``gg)NV;>Hw?>-ot+~6CQ{xzo2BCWDC9rZ zcLl}$2YGhsSBaAE(JjJI-rcx%7t;t+ozQoXq|XMO4Pd#C&i-dOos_py(ECXG(XArB z$Kjv{!{~gW50>QeeRU>Hibf-s~^Q9^)!Q7C^t&W zjHG)$5qfCeR4Va2t4L1{w{&MEZr?8Wr%E|ZcF1&2QH4Hibd2?|pr4oYk3eVdbma*B z5+(kv#B*|JW}`5ybq*8yeIn@rJ4OE7e+Yb$RHO@VHnwh*(2w8e@$)EfPRC-WO2{+j zJt*R7{n%_7&y2H6fniu%jHH6Vu;oVAw@GSz-tffF>|H{>Z2-jwg)P_HM^kAK^s^a- zJgBWczwH)$o=~C37>NhIBk<&Q0zWMAcEDM`RjOg#A(ucVCcleEWnPOApEnh25MFQ)wvT84i)Y zDbm&D`j>0oepzn!P*PLKb((1v^V#1N`gN6Z2OJQ5wkkT)H0-qAvxN5D88+Cc+am0o zB+KLMdxFn!iJCV9)F^=@2X9Tv7c+r3lh&nHUwX;mWlJRX5# zQ-}KWlX&u0A$P6BpVs)l6#QBvF;U{yRRRwYVsx`4ZV-M<_csq)q7ObyN18)v`{#nW zsbpSsNEpfdJEgRM(sm=wO9hOKnwE)dcS zDDG}BdR{5YxH}AOzj+1a-UEXB2vyv}5pkHiIG~ zKsPiIZaiJm-IDHh(!2;xXb|n=(EB@`glNTrC-!J1= zpOD2FCFHh}_&r|;+_Hr#5YK2$7W9{8u^yFl*AFza4di>zP+VKC7GZ)fU-FfIDdgB6 zpm}Y%T13(b@FlrE6nyD2y%)a{eBNzBKR#~8&s>S;wGsR-6~##ziMx&!#hbX`3?@oz z2L(*~g#b+S)FQ(0Yl=MM7W~O?(mc@h}TWY|8AD%t~&#pojnq3%ISih|+Wd`gv3C@A+DAvav=@$@Mn*L0CG zI)EQvp({XW9GNTlnn=Dc!N-FKZ#kXq06B(OK}R;!=h5$EenwJiN9g0m_vku8PVz?o z^zO#Mjr$A74XR*1XD^EBg!a>gs~$Vyw{x_2Fc9n9wARWgJDn*!NkkblH{)#g~zFiLgw03_h zaF*jr5#=~m=DQd;r)&6_(xM^Pe2R8P!#-w{;Arz;(CM)j?sNB?CO;KyFEe=hinLfCH^6B z);sxR(Y_vGF9zt76UbM_$OBsA$Kaa~(sm=#jN=YVrZ?2rQzO^=r)zpa>RkdD!@ zUu0OT5FP#$>3GbP8iRq3L3Z%{w2Xt!1!H|$#M2CN5;&(67aQs09944Qo==)%};CCn$VT|KN;enwtK|VsRj(DU;B}%+2a8|_Qp_2Px zIQ}wYUo>5ASyD|U-*xb@f!)v2s=lZ>cBxmaq$i)3hW(JvAimyEOey!n6TEVss~N86 z1?j5Pp_Cho0(3to?7UF&HMu1CT;EegEb?Rjm(m_U3+BeiC=Pbg?W2r1 z?mFV=L>%nllIh46SofJ%#J9y1HGhyhQR@oaa)p92G^fgbNYJxSD8|Pn_$j?A4C6XZ zDgBY4^&vXZpA|eyHT}Ww{Y&s)7wozi{J{p%JwT}sfzMV)xevkMmIe;PTh_SXnG0XkZW zcxH*;3!KxZKWHD~>CG)EdLRsDk$t1)`BmWK{J8p3=0MK>3sk|O?Q=?t4;!7G_k<{* zSSj~xjY!Yg-#@);z*R@>Ecl<1{7S9BO@joE&F|{dOX9lw1+EQ=he+JDT+L?-^{5`l zcupgHNhuFwPGFTaB~-}M4X+akCD&2S!>Ea-Ny1QbCB4ZFLAUo73C2mhi-zA#sR<}h z(>Tga2s>@mm5U6bi&dZB{}b_D_tVTlC^*Y1Ix+}twB=8KzF}A}U_+SjDt0hD)zz44 z2J;+cHRV1Mwmrr?P^7O-Sii%$PSv4glcWunt^?-+OrA?=i981I(2+!>>wb?yhHwpd zfii~hAZeG7cUo52BAn6W8tsh}`PT~i3*aozbDWwd!O`rpZwZ(A+krzRtOv#(xk=F1 z;vwUs=wqDQ=*Xi;&v}U=hqA*SEXo*)J|o*BYerKk;A#^gAX(1HwGs^o5xC_pp{TaD z(oN#Y-z2FLev+Q?jKpmpi41g*xDB}4R1F-&{Pg&+ur7M*D>QQ$w6Z@%M~0!)yg z1*z1-p(1fxgBjpU5_hkp$j8IB8=Y^7#I#p871-O(*05mD@Z<0b`*YMh8P&)1jo`!H zWcA6$yBD?e22g4;tmPd?`r)va=_^Vb4!vw1+BqEUs$-alXP0^vDI%U#&Iq;k?`w%C z*9v~EUHez!x)#ES$EBQm3_=cGXvC#J&*b@(k%Dx+)kXVKxFfS|77>=q2;G`XD_*72 zC!nacBgKq>;VhR#f?XtEDfl>J?$ILt9nz1kNgPx3RU?p4@-aGt_fN8>uj0c)^KUqYqa?p6qqAYR8OrMctPiCV0n=$m~QSO z^yRO6@e_q3P^!LpX%|x=gv3Oa>>00GWpeH2$n#A3|&`iYB z8}`x8=b)EU+WAMVAH(S)j&JKjaic-EyeINCPs*9vM$nz;-$tYPw8){nR{i79Fstc5 zOqtKaF1o!UetQ|;F6o9yDtR8|f_-^uR_2r2#?mF<2PPrM4Lw2UuPB}2(AAkj#=s7i z-zZ}Y>|nS``^EtGzCvd?bS$9eX`tKgqD&5xAEeSW;Fe_4j|IQ`2}yv3y5I&t!VQLVR*%F^3s_XS<*8U_Yy)3#tUA8=6Qt_pN`*N z?1f2(M0+h&Aj~$)MW!V(C*O1xIq^OzEU{nWe*jk-*sB89hD4!g6WFs{-w2P>ENcSJ zmUV6wC9XC6y(R7uOU1Njd{~z>?*l^U^D?nzQm9+5IB7yR$;g&&f?rz^FVpzH@>jwu zam!AM%Lr?u9~Vxk8DW1KlRpqj;hd%Vbm}3L&C4rFoxtsfvr;7RoK)!Ro`S*fEX|yV z@=taP0rRDRC>%@R+@ireG7;s38A0469_W1~8s;gIZ+kCcMF;L22VJkrrL$_7O3{-s za{o@gc?y;3w!uz*mTS6K=rdX31A(*Et+)_rGK$}Nm?|bio}(wlybL+6>|rXWX1Fpb zCs{W5nxQXAJZ~Z$c^UP?VHWwiTc&fQk2K8Nlwo6UI!-5S@FomQGp8V*)^e(tf_m+d zrLRrFKDb}x)3!;-)27asfpdOrCQ8bL-nR8LGZO<{=bIEa74~ur7x^3^(?9uuPlDjLU80y-C_hsbt(t|YzFW>bdrH2jL9)CK z3q6Y@9tWK5VUQhjcZsJ+{yYyw&W7B)Ei`X-*zzR%0AcSIl5hE75#Mx7$n7feH-NMM zmdOcQsKj>y=T^pjg;M9RT^Ca>hshJEWDfiHMbf_pyV%Ey@|Y;&g(OP->nQ0p=#z|N zORs_7ew-@#x9KlR$%g-6;1q9XIe$>D9dgVrVK4r^4nIpC6?&zwpi=M|lUMmSDAz$} zdwAn1W-jC;5!J+vB%3TD#bSYG_ zh~=In{bGdPv6QqJ13>rPLO*RD*>8l9H$W83L$4%>AY zMK9sGX6gb=0Am2}F{H0Qk<8DR@uTgsVnQq5A#wQP3@rJ72k_DK~=ndC-M zlT>QB#9jB%=4GgK=3b-mR5t-8(qblHP=axrj5KnLS zQsiX8!0%c>GxH$N z*@upBXx>X9E72J0%ho4UGE5pLYr{3FTn%sc z%HfgL+7^S(0$h)Z1hwAgE8uKU*D6X`1I6;1(7ZKht(|i6eNoDv`htjWND%QKl=xDK z+XoB$h{X2;XSs>{DRnL6I=`aawI~o*ExuFFhDf29bz!GtoQeMNett>hqv3jFC2-c? z-In4AqZpg?`nzO$Y3VW_FNoI7D)FhnIiBS-oj^RJPVR`(9If4WLHEQ`d_LzNpWQ@g zwD%K^EgMf=h2*pJrjmSiycMKhk5<_!7gaXjArdUikn*0OwDpi@dyiJFN4_27ib4u_ zVR=k0Wxl}zHc`nNyuK+H4T7b@O-3W!fheXCSIoCl~4f{34zlml$?;4f933)CYqJ9gn zdK_|9q^*?qNT!hIxGZYGScyL?al>z-KqDpoGH{k}t)*3vr#Hvb5f1J1sq!sOCzs+J z2)+0&Cc+qZm5@s^e!w)5p35WTYIeE{ILmeQrWyz28A2#}6SpWhLA(jSO@B}chq_0p zf^l;$C2huUOFqrq3_F6ZH`WefCmd_x6W zAUAm()okJP|D@=xXn}NnNWYC8W4phdkIWGA4G&VEfQX&1(1}=?mc>hDec~_QK#%Y%yT_cur6f z=z8lSnpue9xdX$p9Y`mABV};--B{YU1M58vUwwA8OS}I=nL805%MP1&LSIbVV|Jl| zF%^n#H%sc5GFPN$ldE~XrCnbH&Uz2aqgA_*UUD*>L43X8E(+O=-?-x;WjE|*y-v~Z zgl)%xA5qRix=z<=fspGc5J;h1mgp~WgE$(X>m<{AQsQ~X$oMW=Scgl*iPNXRve zC~EEuTNl9dx1D=gfQQcPg@L_eMa!gByziHY2zV7)u#fq+(usZSP**8>KaW@9MFQIR zaL`i0Z;BQL{gKSj7>V1GDR)1wb2L~e>%L6HGjAi~0m!#*rI`niA^T7|!XY;PhrGvK zq^!7F*H!(xi0Al;=DmmcL%B<&tIgLUSBQeOETZW5;ec+rb;TkL@(SqO@R;AFOs4BT z75NdxiyJcY1l{&8mAnr9dwI#a~+O3ZtTfxDliv&F#k zex~LJ5jva{kI?9p@7A>jV%I7m--%b52NBOt-Bl%c8=e~ zA4wT9kDw4US{`T2{7JO90pZb`?mTo=aM4 zp2Sk}t2XE&@lf;8kLlIKQ?Ty;#eAN#9^(1#o?o<-p!Z3uTHkdfMQbB7eI#XMX3K0r zElK&^=4*k-ZaYc&Eju4XQtrfjcS5=iC-q)Jey{m{Q($@zlKOvVzF!vKHzyHnyX@J8+->A%-}za;S5D3acqcCePD-^T8~o#R*V*}2NRTtz?=(R64*XnHgQ zni0)}7K~;_Yl9}Cg`kC^S&|Azsji4r* z9gUzS{2f1t^Y9kd(5b8mCSBMB5z8(-Pq8I_+7^xAszxyE*3k3#^hz3?ni)*v&JB%D zP2?-VFlW2$7Vi&+_qNL$E&a@dy!Zer6JnXu(G1V*ke_vYE)n7_DsZs> z5f;Oh9dZ}(SQ{9hX>&rKopQYOWmNDMb)*FE%$q8&Am0GKopNvM8<;|N5iLFGT{NZI zJEy@?Fv$RCcFO(5)h5W;C1+U=;-Us}_UK{GE_s0XgAq<4$B>7`EP4P+j3H4yi)e9h z#DAmRe)U8J)Jb>?RoGHcj}C9)?(Zy?_yX$202X)OZc9O#4qm8`HyJOZthaC<2*V66 z__Hy@;3!g6s%bz`EdA_VxM$86mc?TYFs@RzTD=V2SK!VR(Cb~;#f_Q3tCg}6;?+&9 z_7*zb-u(scuFIvkC^%aw+jQQ-yWo1Iyi}K6_!cbQEvIF?P0Ly|$nEkL<+(lH`>HJS z=A)W-VX^y9YQ?v?bFiKhuK zvc&fwWS?3YVfJpJW@;U*-!I3TtH0($3$ScSaMI9%{F@C8TWLYObB3Q5zjxtg_cWR~<<)dYBvAgVpBfr8qn-E(!Vby<2UPt}Lqo>Fo*BC`j@1<4 zF+Dnn$5q$;SVJ#6=2 z#)Qs&!;duKu9`hX(G`fQ6W>8+m0ftbde2u44F$XM@--8g_#;d>BzK0hLv1E_`LA-A zZ#>oKLV9wQKGDs-PJls~vBsxWznM*i3j4Gl#(bqo766ng8vD{adE{(_oLI}QJh zAJqAGxQcO2$s1L&rJzCAWZ8!y(YtV)`y5=oZnVLZ`R*vNY*F-)84YT^)en9Q8F_9y zY#kS62Fqcm9>%5{%rI(oGTuAF#SrjG4hKws(jx_Ja}yCZ))}2iUt{H(ZWkM_2rbX# z#`5TYg8qfZICmD-n|dZSdeRY5F9Ujw6z;}70O$J}qak!iNH9458ElCx!#zV|e2p>7 zp?_t3BrND)uy@Z(0F_IWq*W?;n-K;3x`JS2Xm#fJ@q-F%yf=>0uSlHqVwnRl- zV-wDAWRhzz+F^1ewBZ^v8a>zRG}EgY39-wAb_qhc@P*-NLXH{r@5GafM*Uowl$r4Hxz(h9zb*Ni9{yy~FL9B-1ltJtJXn9& zNxm~9`mi>myJM(J;7bmn6oEz`ye77&b=Yn&3?=yLBDxYGW^^())G7(e+r@PZ4l~A_liTe zgQ!!of~}bhZU;v@M%ZAKPH~Any1+F2Yrxoe{k4?Orsnsa>aNU6QtP1vI>|Lq-2Rz4?@-iLlT@@ZwZ3KWo@FwoJtV& zIPy#Q9z@#-==P?7na70%L9Skj61(n(SxA7cdc_1idI&BkmgDT=xxFA7Fz!V!i|Yv` zW#REKDqpmVb$ekJ`osf$V8>(}t=gd2g`prAlt_p&D(PZXAGq05NP;m&%;n+ zg|JCt7_@&^2!eG+B?|6(I8BdlS!6t1oo$PU_wA`cP>T+6{HGwAaOCm**vMPp=-pVt z@xx#i!scCL8T>#fEGm`;!Ho4`c4#+4Ooggk5hd<1N#)~%6?-u5gz^Ou%5oi)&J*oW z9TIC7Dxlju(FUi}WINQlTy~)&2=hgoFphOT)|Ejwn_`QJ!i!rb5o|d8Eayk5FoC)k zpgV#X2}xx52Hg6zt%eFJ`3~#K;dLbAJFNQno{%Zu;W96RRAc#Q=v>nahM7`iwTNh zTpH_Uhw5iMT&23%H$o(+`_LeT^wbieLT9r*qD^bG#!d0ATx+Zb*XEY5!L{QS#;|!U zf=vT({QAe!O%XJ=*=YWGt&f2j9~rFS7q|xAfC#;OZ@?eCy7(BQ1CO!cw?lHDdc-~? z7@d(|6LD=WO%Jn*GWHt{Rx!l^afN0ptQzE!LOUb%2EO&sHjNQFEarNFC3;me&U(!_ zl@3_+h+yq=jQeSN_iJ>|Yw#`_{F+9O=01o%A+cR&2}8XhN`l;s1PPp8*%U!3)P{3f zNL#qMAk->EKnYG04qOS65~+U#_b0T)6KoV4uO6|FB$c1hUgM^er+8RL4L(5=)|m~n z&MV^v*=UfUxVO%fO6N+y$ogwImq$}POM}1J7P9u?o_q%qeDOB1lAj)c|L(1`fwPa! z)Srgn!=_Q{ye1Kv;8ir*{uzM(z+e*OWCv8I9aLFX;hBQv0a?}j;?FL)> z06d1{4{9X4BhNp}oB)0iuian`K3r8MU@=+r;xH%NeBNvoA9uo~b@+rS8=^>|^kh6Q|KC;Q@pI9uPz$cm@ z>TAbwd{EI;`3q6sl;45$57=w|abVASZB|j1i zmlBLt$MJyVHgW&SShlcVVvjpO_y#r&Rcwx04V~_T1AHG`W3*+%LrrjPdRUk!#6jpT zgVkY(Ll!pwihaWML*v-avd5`2fH62vSaH@M3GJajW*pK{6Sy7{dL>7~%Z~_Qj;FOa z(^fwh$Eo{CT#G%eUR?*g0o9_aP|gImX#?SE-*hG@ev1KE3CNygW;ZMCE{%&GuY^W6I zKLVeIf8eK6+3BBM&@VU9rk+4?NEH5vg4GZ%z)U1NLKE2dbTzb3ugC;ejNy;EOmL#i z9400uK-TwoMgMyOjKEn7y`Wot8(YkOZ~^}^P2ziW1dJYqPv7SP+;h1*4@#(ePJsIr z?p^>D)V+$k>BZ9?&3_ecf!Esy+r*s-Fk_(33dK{*rf&WO)^dp>0n&fr_&JRxeZll= z{;X=k{A0MCs@EDMUxNH=?^=!4QzsAg=cZcADcJ`S-|mU0`OHF zpUQE1`Y@V*;1UIHPSsh(<;t=VcxNiR5hSUP$hACfO@Q79952`C4bbTA4d8F({6hiw zVUC~H=nP~!_o_?(Z9u>=9&kATKg;n@H*9zp-(8d4FrqU~DBVpbB=&a08HAyB06yU| z9})0P(HJU$_dR@?=DA_UUVP)4vaCe133H+334Fb-9pRE-)f0HbEnOx^Hpd$ND(>GZ zU-9wYb+f0|M(pW(%U0Gqb_Vc?4rhgJKl8)Mi+Dq?TZhA+#*Eiv#uwet?q0kp9k$po zv2yfW#iY^WFekED|JZxD)__D9w+SQvGZ9A2GFu%>0(b{GZ#(CG&Urrv@Txe^lcegw zx_-$LjG1MY9AlE2j{JAdT+k z?t!>O+}Nl4$)*%PX9wr>4v4Mb_%IgxB#RZF^}viu%yF$p%@OME$LIZl3S6ji541}N zwYBFufw@%$=wIUcCz-xEh0Qr4MV+(5nbLGBS2^9CqSnaKF2FBxe<$XLVkz|2cOXj; z6Z59Ph*GmnU}J&TAhFyX#Tqs3ioBcx<1RJ^h=*8mP<;j;bEX8NBwjhA^>|d)Dy&UDiYK zv{VVQCn#6oq{}El{d0Kno}Qpc<)fZgoFW6AAE8IeN3b+c$rBiOx&ZfO`U{FzO%Q3k0;Q(sW$8$n}%;Fbnm*@(y+gr@tEFH zR>DHR+r-_6c+cG1(XCf^K9qE~M3&c1Q)=~sr~K3`#UjS|f7tnu-=64Jr`xd>Mmu98 jp}1d?G|x3hL35)eq9vgvqj}I$&{EOT%3X8P-=F<2nD_jg delta 12705 zcmc&(3tUuX+CS$EGYrFl;pWUR+=ep)3|Aq|Fp-tioNPiZHMTWZHZQah-q6*uMif#) z-K_NS+uY6EE^OI_w4m0^OJ3S#6)$U)rC4pkMv8gKQk;F>^S(144zc+W-|suW-!t!d z|Ih!qyw~%-&~$Y(-?VxQ|3s`dCp&lGfV#vwlc#DdXTKhKC6=@IQXJv6Tu|Z-QfNPcO% z|GEq=$tOE7fKplmxTOCg$zz(hUWuo-hJ9q`=~dQ!6dYrFde?1zmH>`_Pd$+GSUcBS zarU=y|5YYW<(x!3k7IQyWJU{8+u@AVk|2y&gxbp+eTv}hs zrS)9ekBVnKmu_n6TN!wSOTS+6Tn}-Ug-h>Cd2tb!&cx$;`CpX>in#Q?5f63r!&EN4 z4<#c`&t0E*u9x^Dy!%IR89!G%Kjz@27A~VN|rFI@gK+&KC zplDHaD1j(?lpquXN-&Cu5`q$nVnhi;2}g-QiA0G)>4#!MF{4;eqEW0UF(|PpaVYU9 z2`Dy{#QIXZ6tIk5rQqcITC?`X8wU@*0V?j{`wL+c>UX{@C58*LgCXZVDYC#F%yI8? z_`DN>Kkn+&=Uy~c-sA_h*T9GTpzaztjk+i zTCk2O_xP0x%Q_LN-jf`{-6Fj5p7gr$0_qkIMb8{(tU4G5_ivLPw1!|ST{V@CYyr!a z3tC+jUP>4P9owWlVUH1J<(gw*^!rkR>5NRikq}%JS7l!1>LBpEFWqMP4eH!Ar0coc zY8JM-s=UU^r-I=4`_ece!w5OsrF>I0s@0qpJydO%?i4CR;bY|JW*a!JW+R)n6-gEpN#^I9@&FWm!G+pG9e*J7X;N$h6i^~wH22XZPYCS6l-)bF#UB? zBwr8XKal!6-$JcxVfd`2a=?1HCC5A9;E{W=@I)rN+GkVvHPls{G*h`4~{^Dh?mkj74GRlP4_9Y_fSW7Q>y-z^+ z4_dSE)D@^}4~!Q=SsB+!>+4wkC0g$%b$Y#uY#YvF9)WOnU1%f(?~(HE-142*oAcqw zob5S>+Da7fTP`Y_Q|=f`rx_;p=nq{yO6XA$Ao4uC-YCThzoBLL@UH4T=isY7lHKi~ z>l9ndkxqQ_oY#A8E&=9!BlK{yNjoMJ?}CY*PIBs_0IS2S5h%dLtg{PV2WTQm03%F*6x z*QKvFbmd<>PP~+7Dflm(mHRm5Jlb_7ze&m23UcvTm{lFs5B8^-4W6c}YKv0Y!dSHm zx0|lM?qr$?-88vWQ)xntBGMNf%sI~S^6_2!^dn5wwK8;zW3Io$cAo{$-z00Oe?P0< zMb=B5K_8`iMP382BSU9_f=rzyDifC;>F-DV<}u&H!I{CjCdeAKM9yxD<9B||tg}#T)mx)3V4FzX`F_n8 z9T2uQC^@U`A?!;Mf-H2LZFJSnB4G8dwh}D;L)tdvd&s^LXom$xO^C(#`*`Mbp5Lj6 z2%LPtVSx?%Br(x%`E|YQHizu+>_|d;rtY_nSP%Im_#u>HVy(y*~{XA!)@U z{(yHO(&oh^Wp~%&9V9Ipru3WU^Xp%T`eX8pydy+0_VwS;Wv@Fem!4DKs3+PBpU755 zh0*wKq{ZDy{kxHV)tzKek+RRU4w6~(oLwiijoRkW&4zrj$!62oE@vGTqjGbm_2Z9W z_7*A1Q`N3+Pu<6Idm^YOTnVzLd%N+fDNot%+-{_)GHG5?*JKN+Y4RSehYY{`x#|4c z>m6OQ<$MX|E`QeL0c;!J&1FRQxI56cYlLr_yA8_vZlrz9UJwpQw&)o@9(&u@Fyw%= zTQhxn*4id%r7)uznhr{Fu&GIM3s*j(74t!?tY(!Zq>?OG<_WJKtsiwrN{WKaSbOwT z+fW-fQwG25no>rwd)hP!{Py4JI{q_pRsYzhieZfaC^hG ztLu2q6vUens{` zj{9e}_LFRm=jwNzl8TMOR0|j;^ot1}6`K8+?$*%UrGf6yrVvf82o;O1=KA};lY+JI z{1etFSbjw+g)g7RH+`qHK{%ZWr(-pP3@wYu48#O(gMW8QgWyVAm>y|yVemvkcob|O zVCV<`;BA5YNGRAGkpL|lc?&f1Hof2!p>Ua)0-d+V8H3)qnYwuq_)GemgyuaUd8K?| z;t(i1l4gRI8zc0v*elr#0mCRUk%zK4O+1XvjnKh5E_pXub z`9U2cDZ3talnM!JmfJ0Y^Y3dm+Vh1sZiW2Wx@2g1)ffPcwZTzB)89ej?fKBc+x7er zDEKpv*~W(jzzW_TC2Vhmji?pIx*>lApA0Lccnd!T5;gWn7%12?f~L4>sFAR`Har2Q z%o8laf&;KoK%?41*tP(J={1-@b2CU9l0hL{9f_Wn6Yy9egm>yq5cWGE46WJ0PMH1lVKymh7DyD9vTY9R7byh zZ-D(TK{(j;FNfOKYM^6bKMVgR6f6_W{4QD?l?%uYjSGMg%Y-QY3I&`eQ!Fs{O{;}} z82IJL-$IK&HQk=sJBJgj~L)+N^&%ui?=6&rbSE)n6}{layWQ2I17d(*jK>$ zh0zw6`%!8HjDKF2_|&p7=BMuXstpnn?ek&VpL8NL*%HUrr{Q#PMh`d9n3xJzL|^6K z(e)Lh?K1`bTR7{+RK2*G$wO#WC>Bz|M-Pd2*v&$?1@_k(OuQS$VKw^KOikF!QOMny zJhPyJu;*D|+gmyl|W$3hqo4X2T99U4uY;5C*b zvW8FPKMH1_4lsjzltzT2a)Su5+9*9Z#E39{lC0vNfP=*EI^f6H&9G^3 ztUki$>=<+Q5_7gmwh%T)!~W1<6Ra2|F;_cil;*8w5k4)%)H|s+*BHAPO1zz8oOe9cB3)vqDxy zl9{){s*epO;S_^As2jl%1Nr9=&xn!zSO>*)%`B6-8xljCI}CZGxuc)}^Ag+)os|-Fcp8?#N8R4c!0KD{$p}+pfZgF^dlsxN^oFQQ%7dtrUmDwT5v18>qvsf<~QL zA3+jXisGBHA+c~eG0+6HIf-IuE-LV@DL<29;nBN!lXXTcO@R#4VEQWmmd3*VBHkoC zf({-DGYLzud|hV}s$(I(+F-K&f!X0lkRw~Y&+n&{cf%Q`SNF^hig>Ro;z@C9%A(9L zI4dq#JB0XyabDbsUA90`m>LK9wm_3m$;#(x3HpgR83_Zn=B3cL8#?2tQ<7I6@x$61 z7Ef{CiW$%5dB1qMeK>y-@6nrK{T>~iXOoydH6E@$9%|yVWPMJq%FSd(8>+x((Ma;| zE!51dP?HR%GqZ6De73@DqHHEC$Kp8&X^!+{ zA$p1R;|+{LTq^P5DpMVvRmkH~;n57Atnf1&dA@NfRq<;y_=O?Q>;$+PXfz4)v7Cb| z`q2a^JEk*7EBN@g7H$KXaQG}|^p%XhCIL=w!iD`T6ddShj(&rg5w>!{y#+gpaC2R> zPp5|?wT3WZUjn>#m(2vFdqoiz9q1?Wr(oPKkl&4%t_dx~b zCoI75C$PbbA@OpsIl9UwljSX0$;M9?vOSv>Y7#V#4O4>(&tmw8DtrLL_p9(x3~ymL znKmO(e8c@c#c%e1DsE>6SDArtD&EcTutc=Rhd8 zU?^kxtS2$+TU7WQhL2}B@p`N5H9R{m5}I*u;b%d?m0&Z!6b{~lXM^P`4@;PbKLYH`u)GtVn?ly?=N55|D2l?34k)X~#gPYfq7y&!4p>F@+4ei} z@U>NRiq<0bwe?M)nQRf>i_D|QTrnAYebW>?K+Rjji)L_k;sJ=%p=dym7^3Xb&2kFD zPKP`?{AY41qfe;W~d9rw}4`jAb$_GBoTHD3^EHh)2c8m2_C&m zWAcnny4K&ME#zuRo-rzXC&P;wPQv7%_`=Lo@%J)*xe7nR@W&|bk=N;Hg`=lc1|Knl z|54#*82$>wNkX?P{Jf*$pJ)7SUGVW7cauq-56cNcVk;l% zA)|aB<2<0UeURagFq~A$h1567H7Y*&6E1n4P~l4${+tSbT;Oh!4PI0!)-!_!hLh?T z6kp)|$#C^yjY&A1yk>Hs$@7`Y{3T}oxeDLR@be0{6Z)A8h z#fQs%dY8gcipt;+GssZkCm4Qv3cU6*PLio9F!^SU35o^$(K7mqiqEm#EC6O}MYA9};ZM6T;^67}U}*h97lQj`)=fAZ$xg_}ZBoc` z!tqz}4m;Y}wLfnOp!Fx6aJtw=w%N%`4CZJRYZPO3I-#r%y_r%84R6G$UA+}DUP&tD zRWM$yidV#Vhf|?&B1UDj$h5BRrZC!wH0tiYG#Yljf~P~14t5^flqO&OgyU)Ql@YE? z$1R>*XGed-EJ!c^5haQS;?6UiI3T#5;lE6$F9s}#l(0;S(`kg#blBFQGu^OI#mi&7 zY8CzqhW~-uLy;cOqWc=~7!Yg4zY$EGU<(sYrPHj7bXuCV@Lf8bCQ&+>w_wafnPpf; z*DOm}6iWupa&!j0I^T(Pyn(O=M17?$dnTlqBG&OB)ldL%#^Q-@M#Gi2S?Zf!-U^bM5tor z239s?%HL#IKMJppO-@n&V(bM&@vJGc#kpxBG|sSh zfcxnf5w6U{+RN faustGlobals = { - cout << "gFaustSuperSuperDirectory = " << gFaustSuperSuperDirectory << endl; - cout << "gFaustSuperDirectory = " << gFaustSuperDirectory << endl; - cout << "gFaustDirectory = " << gFaustDirectory << endl; - cout << "gMasterDocument = " << gMasterDocument << endl; - cout << "gMasterDirectory = " << gMasterDirectory << endl; - cout << "gMasterName = " << gMasterName << endl; + //cout << "gFaustSuperSuperDirectory = " << gFaustSuperSuperDirectory << endl; + //cout << "gFaustSuperDirectory = " << gFaustSuperDirectory << endl; + //cout << "gFaustDirectory = " << gFaustDirectory << endl; + //cout << "gMasterDocument = " << gMasterDocument << endl; + //cout << "gMasterDirectory = " << gMasterDirectory << endl; + //cout << "gMasterName = " << gMasterName << endl; return result_char; } diff --git a/interpretor/faust-0.9.47mr3/compiler/preprocess/preprocess.o b/interpretor/faust-0.9.47mr3/compiler/preprocess/preprocess.o index be9b32361571d4d47eb1fe6065e9d887b00abe27..0c97daedff6f720d8d206a08bfda9d98f4dcd5fa 100644 GIT binary patch delta 10258 zcmZ{p34Bz=8Ng>>*n~u2Ng&y54stD!EDD5*2to)~*+9Sm0x=u`sT?r~N}}PgD;kb9 zq!d085NRU{1S-%pC>24uHLY?4Yei8xq$XeqD3|5felu_8?YA#xe!utTz5jR4H^)B6 z-`@@T`-Y%DrfOYBRJoGEweV1QGrUc|ADc7w5ySR=OT1-!FaNyBR{C!PTKzC4RA~nL zp;dUXFEwPu%N!x|%rs;+zg?~YiL+A%Y~|NHWI&S3hXy3(TNK1mxV`m!AR9wjZ+&>k zvb|?HTdCCECl22*U~7NqCHml27&0<|1-fjzAtQEcL*c&>PVJFUXwzngZFm@CFZMyN zjM)}-4}%kJW@=xwfXudb%W}wV+gq!>4_<5gNYtyS=Pv8c_1p{Z3H8$AVECbJR@4TJ zl3m72%d*Pm*0~q?Y^Bc!!*3%;XzQE9+Q^Kk8k{k+#vv>GH*%zQJp}qiWoSjgurSIo zkdGO|Jixn;#ftzUKetz4Tj?DXxV;B2*=z}=T#N>~UC)EI(#jzCHmXW%2!<8*9=+nZ zILmuz(bu-+1vnXEE!7E|@&(RZ{i#f79VvA_Y~jwzdpj`$(4td9+(Gl}+}=2NAUZwB z?d<}Sqai5U`!?K+?$mda#sfd*OmvqOIaA#|kK2|kMSFMoGUq%lD&OPG!M<+J4?bUZ z`P+*%70y4~7>UPRpT{aWR%t5F6K}V{bk-OfA#>@jxe54IPMV%LdeO zoQv5w-QN4**|=ou8QY?ER#+RCtew0Cd*hrB#{S~-*%HuxPHQqyy_)qIcYMC7wuFI< z9TC;CynN77KmQg)#wXb8E(GQ{2@~fz4vmi)TYS&w+bwcfRBJ1Jvl(N%eb}zp_UGI} zvV1hk-Q_!-3;1BUUi|Rf;a^_$%y6?4j1N>wJy6tik;uGSv--|9hKTvq?1{5TuWT)!u3bSRr0^4u!WHZTX z<8%#DG3XEZh_by6b%)OTe5Lz}ipe16+<;RFz2I76^rN#eVP1r}MiC|=3G~oYcqkqn zNK+n@#3@mdxLqz$~ zeekI>KGwfD#PIyD-f!WV#Ga6ylI*#F;|`o?JC>7=vBVgo6^Vao+-zi#fmP%d&l?u^ zBQYk{dr(cQTTZXr`<=*hH-kye5KmfQ0+qNzxI2&G?lmOBpe*NB`4FGiee2Q}Dxsoo zfz~-#G;aNzVV!}*Fs!%ZqBhlpd)VXTy934gf_ykY#p;9k$uVQ< zHKghUFjQ{^?4fUXC_E!XQpV`e4vn?{b%k$IkF$~Pxo^aVwvi3J z;)>bf-o{FWjg;0jRvK(l>e^5@M`#tN24*}#w@ufNLH>)!54=#3bnje~mxj71T|FZ3 z)I88&&inFdu!ObsyxvG~vA5VORbcQySck!^wm8&(Js%~(#Zwo8?&W2{0F3&!TWtZja;AxE2hFG^Gu?=Q0u30>{1xgyJ6Ndu?$+^hu zi(|OGDq34_C$qQ0tyRhFNVxUI6t*Fquhjok2P?g&wbYO4&%>q|*duTa* z!1Z{B#y*4s>{|DSt=LuWhl|)9?+>oL3@zsX6kzwqfv^?3%!6~0-~i`acK1YF}W`Y|ZL?)VVcirwuY5I#C;<%Cp?4F%T(^nhaC4Tr6~%Y%#9 z?JKBuO*|4B+A&g3@>!^wX0L8Ny(Gx81}>mg~T9dp3D zV;Aa#voKa6B#d>H{UM=qO5DR>1nTz^_i@!DW?l+|F(rx3o-CPB1PkV-KuyUqTmGD) z$y1)npECWa{Hc>?6vDca&hY)n=@2r{>&VX=K5Eu)W)~L?n!?0$_o;=&bEXe^4wlbb z0&`2+!DDkB&~9E-wQK(3R7-!TddqIfs;+r!mgcyM%bPb`c8q`B-@#u$-tNJOO?F2} zG?y`kgJ6sB%EXJe*02zzL#344F2PILPK2sWcFQaX-)y%O!CBN?O*Fkkvy$sLt`cbh zkv4;VC{1u6!p5V#f|rWY4W2>&gSbvsuGCR^N{4K+iD#lcZv})e#dkIH#loAHNEZ|7 zA0+3QEW=A>Qc3h*Ci>eTz0%(P9I<*BrTA4N+8x5X!`(`|qbEvLYZ0SA@mM`z!WO${ zrV?SFOr*48X@ozAdMd+Sg!e+Oa#%n(^|M@ZUQZ`p*gWF?x)g-r+crV;+X3RJ6CxG3 zmheZAtH5Uo=Vy%K*NA@}<1suGdHW?skB>WvF8Cs4&nPF@_cHnMsEi7U zpa2yWf1dC@$W=)f6P`i%TZH!|ezp*v>E|Bb0V1FVpAg>PZ{RygIR4HV37wUkSAkzw z@#5_#6Thxw)+~-cVEy^rbjAxmp+$=kg2ud)W&xI^;(#LocquPT>A_4)jl z$vGQM1mlsbmPZ^-K&YnX3Bo55K8^U{|8-Tt_ZzlC!pG}~4deYY#1RcJmv9>3Wy1e} z_9~&JaAKR?^A3^HP5YkYs($gZP9K)azIbRe3lg5NP2 z43!3!_`m>TC2u1+Ul`qppRR<{mHH6jgZPS4^~f{@7%u}1GVy06A4eShmIN*$JP*0@ zzku*@ihFPoFCzkK@G9Yk0qU>h(R9A+-~>K z@HJAZW_p&~5J!pCauVjGNxw?!e@Xawo`!7S5I+-;Vf}(}bUxn?kdCSRNX+)h|S!{%Ba*>GAlwS)qp!`oWT`;e8;}ZKZR4!OFaZK{RnhtC&hSoz|{|)4Fz- zoCl^qs}qQRC%Ry#HDRzkz`(x%Hxo`1+ClhAQi)xJ8;N>w%zLE3oaq^2K+lqkgaZli zzb5>&OF$)Td}^qlCy?WY)dh3}i--Z`<%Am}zyWL^oa(n9O?`Ze5v=+m#^zB+e_DSQ=!t3z-wF`$Kc9ID>6J0?o0+Iufq z&=lJ&IE&IElXR7oE`sp)?2cDV(hXAj2K0N+?s;EI`M~<(rSkcR@WF)Fn7nP4-j161 z-y}aHIrs0zOZ}SN)wzCZ(7-{-sD+IiWZ|U}YALxY!Fw`5goz)J+)4bTqaPKxTY$a? z|Fk0wdISg<`&{xY;%EqQG}fg5Z>c}t#2X}kn)n&2{P0s_fyv;SG+1cj_at9w;ua0P zVOwqDv663*oWEw{rM?hrkmLCEQqw6oMhu3bTus3#$<-93aF5u&HSs=@Uy+=@(!iD! zVa7MEN9^7~(jeF`5PX8<(UO}TrJ3}nNd3+xK2!2c;%7MKaNEc0k8QZgfd4l!Z=+27 zCCPth;;%?P!^Gc^e7@xT^-;XkVqD=N201*UY_Ac65y;dOR1keW4Vz_vjV4|#`7YvT zB~oHa7Sgx+bw(Mgk|bJ9^WzMg+2 zp%lVtLgOXJggh)54X?<55)=}H-yv6}{uAMA3ExEc>x6G3d>wN2zrZ^s=ixYSu8*HF zM=HKyQzg16N0uZxFEvf*e!|~CnM$;$$5lmBz!#yyqR!X zp&f+N3hk1d|CyNmi8$IT1^yDD5ZiIdRfR6g5qwDk&;f*{>2};dO(=qJOvr!E#z}z+ za8(9y5(65bvq@i*`VTdspJ~#!HRB25`gb>BFpqFLf|m%VC0#}W&_(?!(We7Az&YQ4 z<`Vsb7|;Nx2w#nMYSCRI{Lh5jT=;^G`={JRxQ7Y`5dr0sn&2gbS6~p8(84A-5H1Ih zhsTZ2w~h$tKQbx^rvWxL!M9Rgz5Ymu#j>h;@L)-#y5E3pZS*6)!+ORMXTY$ zr-SkSz-RnkEbe>7-FlRhVdB2(Xcv4we3YBDKQ>mgtb-R$biwQ7WzOQyUa)Nv-bprA>=bf2Mku&my)kytt1a85Ddq F{QtPTzMcR8 delta 12470 zcmb`N30PD|wt#OpGy*{zP-z-O7F)p$F>#9u;@)5fSClwzL{LmLZs3y9G%7eYNFu3B zMx%~fO!SKy4KBnnMrBZAoEVoF6Q9N`GeXpeaZL>NoKv@|`}Ae{eeZqm)%V?7egCu7 zIkofxm79Gk_xY?!&?I}h1M1l5EW4PHIKi_@VSk&DG4Q}{~ z3;MZXF85-pamk36^IT?k1jz_Sw_Uyq5@+{au!&pqnhTP#eB*+|yjnuY!s@8SM2-e2 zz18%9*&N>?+a=XLA`UBEFxVY>OAwaGw9_Rc39MFS$6YdFwm?NjH>bHS4WEZe(vY>lJnzlD3w1MLp}1CtSU#Jb+zq zmKOE`h-{@;S|zP?VU4xeX)Iy^Y*6#z`VL06rg=)31B6xr5&+5fd$~ry5uqTRtM+CB2xf9T)NT37+uH(rCineY?ZOd-;Z4l+oNwB zz{*YCdvXJ-qpJ9p@%0t{Vy0`jP1zz#7Jp?cZH?e~k)?+YdTUv4i>@NO;J%c0HTWRuJhdizssk=bIe<^ws{0>U50vG66Y4pS{T2iDTj zH7ayeTT3B!YK^sYouLi~U3}SCGzgY?;U9Y_#-htG4&(m*{f&p~td85l=7bu=)G&x$ zOzi(CN}j)rGWx%X6523|_aOF$x%j)H4FCU%(lE%jMrtZ{W6^1tDQ^{P`oGDgVHB%~ zVk|o0CCFq5a1alb|HI_hz)_=4e!u@1g>5oN=nk+$=1vLwK-CJ%Kh=6-fCcIdYZPy3 zr2{NA$g^O@TWpRlEHom{P-859%a1)95vQN~fQ^r^botu@r_&e>4(0~aWy*J2?bTnM z&MC&|0kEC;meeh)($z*jVEZDXO)Gxz+y|3joVX8eL_`b;``zh0h=Z^epM!G|26)g3 zt07+m+9}m~>yh0{FPCj&DU@b)#0ZG#x*)NiE}8UdzVp zR*TTC#-a`o#&gcfVMsV<`K~#$b8^UX4NpRd-7-3C!bDG_xiE!jw9sXg4a76E-rr(o z1(}|-4X61LB-bzRunAF-%^zGv{rp5C*?I?SZohX&>-$4hH;L$GgA$C^>bUMvmC|94 z%F>+<>!aE)o@l*H=U$A(uff^nIm!`2l8F*Ku6Wmk^-x7cVIU&mf2b4QVQb`%@~qZZ zI5eo%TvxTK#bDw6CP_j$kFLe(s8W|E#IKEqZRSel#``=)&CM8{s%|=-3Jzn8=P)|L zuE$}#DkIiL0&!_~@zm}lwC(zMxtXH?@u2RJY;(W-jIC@LXD@_Wc{&>NiyC%;E9^iZ zuCS9lwU>%o&39?f0^-t6_SC))RmYU(N$ucToCw#TY8SDyG3LbDS77e(ogNP}SR_1& z+F1x4RkeB0yDfVC%7(?XYHjrl)KUa0kb!E0fUvqKOAD9jjRV|oXSGKB)Mb$^qd>{m zjvp~xfj7f}<;p10Gf*xZESS+^SD=wVYMn4fP3g~KLaEemJL%W8Sp6^aJ@s5;vQiJ+ z;s=@E&wOuPza_@vvf26#w=oivQ4N#|8!B~bp!A1^N`V@sh}$*YxK=>|uZU|DG2gI| zR?YOMZ{jF>M{3M&*L?joA7!ZLiCcVAo6VlDnJ%!IeS8CfLv=vY?9o6ehbtAd_8eP= zYTAZWsQ!l2nO?g0ULKs8?)Tf;QNrVB_xTapFz!(>_Ka}rQiC~I*FfpyB{t0xYo7X_ zLm$4uwpgltrc6m>d9BOzQ!lZ9w25Y6ZPN9>f5l%eftTg@a+#flH& zTQ_Slbx?M}-29ORvvTL~+kk0vawq4{oU>S>>0V}@O-b?I)(M`-QIppr@N@T>^D_W&NVW8Y*Bt}WLVNp+2#+Q zQx5tYPA4e;#Fr2K4a*ah4+G#+^2Gqd$~MZ4K%n)3hVr%w3xbzhgAD6pmGePoV1H~1 zHmr?N-U%_Bk5*2E7|L5Ij!?sw7G+bYVS8KUOy~pOn{Aat;jbvlUGoIP#dxJO!f-iW zxf@ZT1Hq>)`YOtSC_`PmvNhVGC~w9573O$DtNn0)WQhWe4Q)!`z2Ht;1H4|EScxm3 zli}A+%B4<*>LlIDq%Ml`Ws>2WBt@rGv`@}9>T|-`Yqoa!9zkr?kmeOf@NHSEf8 zyKXHTKcYhab4M0BvRmM_Mfko0LDJZWk=^u@5?RT}6n$YLJEeAkqf+#{d$M%sE*)nj zqf!D!_fjo(u(PAO2l%F{Pq8c{v%5a2FH6q^^AoHDy1Iev6m$unvB1&bWe`h;?u*Y@ z33Rip>=blIPP4!NNg z)_Hgsi+Mgle+}f%Lokp-H*5quh1~=eI5tJU@#Tv2vBv`fa>CV7&S7UKn<|oW7WnAi zVY;a%-G}^M#qSsS{UN{q&hM>RY@SKiiQoUo?|b?EG{0ZLyZLdTqQoiqm0E3keARv` zTasr=TMS>r;*@4ms2qZM!*&IDsM1JDO;DeA!D_-*2RuXxR5tP_MM)BUJ^Kzu63Y5c zGwJ$Q%${~5kY&#cV_EqY_S?Kz-`oY$@>xnjBHNO`lC_v0Zk#wbKYQ{^6DQ}rG;vDy zwCSuczZLTxn#V2_IN1Do%PYFge>*|fpPk)c(xq3_ZkVYz|AOBIp}|sCir|8e!fIo) zH=E4$O*9=ub35vo ze<9KriPXTdK^kKQ1P!Bf>|bu^YZq8YqExGMulU^)qa3HbSoQ7O@< z34B60P2dFKY64h)XlF?P%)l%%xJWq7;4*PU1AkBW%Orv8Ui{SAi2-$Fi3DqCIL9=D z4usPw=q5N09kd%5TP!%vGVT%C zmJsH5A0YbWS8)_J?Ba~%KKSxu%r3G+1Jz0@!~ z$^fR@VbbOBdlA3GCkr5d=l37+Zm%b{y@_pbOL%~WCBSQx4?ZbMbHZt9A_T`m(^6W9 zKKdCa{IpfMojb>EEL)-%M?Ex-CJ0B#gyUwCt)CY^HliPhXY&-{XgCqfmR_JA?Zwdw z!s#3`!jr*XuEouSr$}yxiTZ>H`hY;Ls%pYh3BO7>)&G(3enkH^;eCP20o4PC{M~bW z2Opp{iB@|#_xIIDB}Q2$XN zUoWL%%4QQjP7D&edBo3nc$O7?mGB9iWBs8mBaYxlU1cL~Kxiunrvb`{BO2h(gsTBm z{~HJ|2fuPayTgS5Xb(@J}`T8Nol-@WFy#Q~6k4xB-fLKm4jO7$OXwX!sbxgJZ!M8kX#7xa7X- z$iCZcvOhzl13@ZFlPtJQZ=CSfPs8U3K1y(mGKfUUBmB?A|16cW_}wAqWkN^Bny&*z zXe-$8-6r#TjdZz?Ze~kBdO#yxBczAe9?0&Tkm9J4;F7stBUU>xlxV(j>I>ATlWit^ zEXZV)VhN{9r;EzfFJIIkjTpQ|9A$XnBfan}FFf0W+ub9bA_Q1tnsEs+pc%jEMZeOE z{xQP;A``R20a!x>v=ViM)6(4+TszRHR^Szy9xgi2c)_*$Lx4m64Gl8A7%cP>-~iz? zf$zQWpWHk}p_$zl0_{kficibh%o14aep6-=ky3A634a07luNQV;q)Z55uVG|?=vM+ zX_k=U*zlU^12<^niPdU&mQiNl*vth)O2<}=(zMr!PrBqPh!vg5V}#Sa0l#PMH`%Wf zZ#csD#74iV;U5bAgm|-pzTEnet>FP0R=W>eGNV|+(+KaWa&;?HgH&QLm>8rBE=!3& zm0}yJ;l~8eCVo1Do*d{*4}Dn5Cxk)1hd@y-2)>*+N+*uq)aZXD^w((kZNc9seuhXt zxRpQB7~B&Ehc*0(;Ab>khc$HhIGUo-pDgrW((oCAFD8C6AhE|z ztUt6;jRF2q727HeUn=+}4KES=T@7C+c%|U@I210q7(cfYgJBp^wDZJZI50T{SBO5} zt|Gwq8eSpzJ>q8s_>qC}@2}YGaxM=FgGNFiIsS7DHnZUPI1(=DC|;v~PUv^g@NWel zLi~)v^(QmSAqJTkQM75qfL3BT;iExU`dLLdzLb1B;bUCf?sV=E0?arIF4^`IgXaNC zM^%K=H?A+e@GFGV)BJnnaQ^U>j=_HrCAuyQkkRiBH;E(qA^o8j{yXu5z7+B1h`u%M?pkDziF8Xp9qGs60wB0BfJgalL${FJX_^Ze`x7KfTP5#pD)~?y+I7-!?O(h zKH&=pKd13CSooSvqAPnPg=Ug~3f z>InYY$D4LQO|ibvWQ8UPM*{@c4rnsrbU^EfA3yMC$G<>f+d&L+;8~XXD&h3^(0>tL zM)YqHz8<*zTi89pF&uJ#xS-jU=kc=&v_Ns?iOjME$IR$}rW5{Gkja5A)cENw{5Ukc zkKk`}4)xat*y<&~PQo{kz}E?<6}nA0tIK1R6A0MC!pBmk~zxu`D?eVTy2BPc=BV|*GQ&?Qh58o*5WS}>HA=tB4^!iRd{ zFKRijKV(p>6<}J>HhSTegwqf8N4@a#gwq5vFB1WMce+M64e(Dd{3psQqCRe<)2*p! zeYlSvy4)jwfhSgfbfRu;#rR_&TFY{)2E% 0xFF + | Number_samples_int -> 0xFFFFF | Max_Eval_Time_int -> 0xFFFFFFFF;; @@ -55,7 +55,6 @@ let computing = fun f -> fun width -> fun length -> <- (Array.map convert_back_R (f (!index))); incr index; done; - let () = print_string ("Done.") in !container_float_array_array_array with x -> @@ -67,9 +66,9 @@ let computing = fun f -> fun width -> fun length -> |Beam_Matching_Error s -> "Beam_Matching_Error: " ^ s |Evaluation_Error s -> "Evaluation_Error: " ^ s |NotYetDone -> "NotYetDone" - |_ -> "Done." + |_ -> "Compute finished." in - let () = print_string error_message in + let () = print_endline error_message in Array.sub (!container_float_array_array_array) 0 !index;; @@ -112,8 +111,7 @@ let arrange = fun float_array_array_array -> fun width -> input: a list of signal functions output: channel number list, data list.*) let compute fun_list = - let () = print_string(" Faustine -> Signals computing... ") in - let tic = Sys.time () in + let () = print_endline("Computing output signals...") in (* arrange input information *) let length = interpreter_macro_to_value Number_samples_int in @@ -128,8 +126,6 @@ let compute fun_list = let channel_array = channels output_float_array_array_array width in let channel_list = Array.to_list channel_array in let output_float_array_list = arrange output_float_array_array_array width in - let toc = Sys.time () in - let () = print_endline(" (duration: " ^ (string_of_float (toc -. tic)) ^ "s)") in (channel_list, output_float_array_list);; @@ -218,18 +214,6 @@ let interpret_ident = fun s -> fun input_beam -> |Sin -> if n = 1 then [signal_sin (List.nth input_beam 0)] else raise (Evaluation_Error "Ident sin") - |Cos -> if n = 1 then [signal_cos (List.nth input_beam 0)] - else raise (Evaluation_Error "Ident cos") - - |Atan -> if n = 1 then [signal_atan (List.nth input_beam 0)] - else raise (Evaluation_Error "Ident atan") - - |Atantwo -> if n = 2 then [signal_atantwo (List.nth input_beam 0) (List.nth input_beam 1)] - else raise (Evaluation_Error "Ident atantwo") - - |Sqrt -> if n = 1 then [signal_sqrt (List.nth input_beam 0)] - else raise (Evaluation_Error "Ident sqrt") - |Rdtable -> if n = 3 then [signal_rdtable (List.nth input_beam 0) (List.nth input_beam 1) (List.nth input_beam 2)] else raise (Evaluation_Error "Ident rdtable") @@ -494,8 +478,7 @@ let extract_rate = fun beam -> input: faust expression, sample rate list * input data list output: channel list * sample rate list * output data list.*) let interpreter exp_faust input = - let () = print_string(" Faustine -> Interpretation...") in - let tic = Sys.time () in + let () = print_endline("Interpretation...") in (* make input beam *) let input_beam = make_beam input in @@ -505,8 +488,6 @@ let interpreter exp_faust input = (* interprete output beam *) let output_beam = eval exp_faust dimension_tree input_beam in - let toc = Sys.time () in - let () = print_endline(" Done. (duration: " ^ (string_of_float (toc -. tic)) ^ "s)") in (* get rate list from output beam *) let rate_list = extract_rate output_beam in diff --git a/interpretor/parser.ml b/interpretor/parser.ml index feec7e2..1d2850c 100644 --- a/interpretor/parser.ml +++ b/interpretor/parser.ml @@ -1,6 +1,6 @@ type token = | CONST of (string) - | IDENT of (string) + | IDENT of (Types.symbol) | LPAR | RPAR | SEQ @@ -188,10 +188,10 @@ let yyact = [| # 189 "parser.ml" : 'faust_exp)) ; (fun __caml_parser_env -> - let _1 = (Parsing.peek_val __caml_parser_env 0 : string) in + let _1 = (Parsing.peek_val __caml_parser_env 0 : Types.symbol) in Obj.repr( # 20 "parser.mly" - ( Ident(symbol_of_string _1) ) + ( Ident(_1) ) # 196 "parser.ml" : 'faust_exp)) ; (fun __caml_parser_env -> diff --git a/interpretor/parser.mly b/interpretor/parser.mly index a9b470e..9e9b151 100644 --- a/interpretor/parser.mly +++ b/interpretor/parser.mly @@ -3,7 +3,7 @@ %} %token CONST -%token IDENT +%token IDENT %token LPAR RPAR SEQ SPLIT MERGE PAR REC EOF POINT %right SPLIT MERGE %right SEQ @@ -17,7 +17,7 @@ main: faust_exp EOF { $1 }; faust_exp: CONST { Const(N (int_of_string $1)) } | CONST POINT { Const(R (float_of_string $1)) } | CONST POINT CONST { Const(R (float_of_string ($1 ^ "." ^ $3))) } - | IDENT { Ident(symbol_of_string $1) } + | IDENT { Ident($1) } | LPAR faust_exp RPAR { $2 } | faust_exp PAR faust_exp { Par($1,$3) } | faust_exp SPLIT faust_exp { Split($1,$3) } diff --git a/interpretor/signal.ml b/interpretor/signal.ml index b493b6c..b8f0e68 100644 --- a/interpretor/signal.ml +++ b/interpretor/signal.ml @@ -32,7 +32,7 @@ class signal : int -> (time -> value_type) -> signal_type = else raise (Signal_operation "frequency not matched.") in List.fold_left check self#frequency sl - method private add_memory : int -> unit = + method add_memory : int -> unit = fun (length : int) -> assert (length >= 0); if memory_length >= length then () diff --git a/interpretor/types.ml b/interpretor/types.ml index ba61990..d117836 100644 --- a/interpretor/types.ml +++ b/interpretor/types.ml @@ -86,6 +86,7 @@ class type signal_type = object method frequency : int method at : time -> value_type + method add_memory : int -> unit method add : signal_type -> signal_type method neg : signal_type method sub : signal_type -> signal_type @@ -116,12 +117,13 @@ class type signal_type = class type beam_type = object + method get : signal_type array method length : int - method sub : start: int -> length: int -> beam_type + method sub : int -> int -> beam_type method append : beam_type -> beam_type - method matching : size: int -> beam_type - method time : time -> basic list - method output : length: int -> (int list) * (float array list) + method matching : int -> beam_type + method time : time -> value_type array + method output : int -> (int list) * (float array list) end;; -- 2.20.1