From: Vivien Maisonneuve Date: Mon, 30 Jun 2014 15:31:54 +0000 (+0200) Subject: Fix C code X-Git-Tag: 1.0~187 X-Git-Url: https://scm.cri.ensmp.fr/git/linpy.git/commitdiff_plain/34f5424e5e4fef9ffeb2934ce2e62c0a906ca9e0?ds=sidebyside Fix C code --- diff --git a/pypol/_islhelper.c b/pypol/_islhelper.c index f8c03e3..bc62968 100644 --- a/pypol/_islhelper.c +++ b/pypol/_islhelper.c @@ -36,6 +36,7 @@ static PyObject * isl_basic_set_constraints(PyObject *self, PyObject* args) { return NULL; } bset = (isl_basic_set *) ptr; + bset = isl_basic_set_finalize(bset); n = isl_basic_set_n_constraint(bset); if (n == -1) { PyErr_SetString(PyExc_RuntimeError,